Categories
мэдээ цаг-үе

Ц.Батбаяр: Засгийн газар хэтэрхий удаан бөгөөд зориггүй ажиллаж байна

Өчигдөр МАН-ын Бага хурал Тусгаар тогтнолын ордонд болсон. Энэ үеэр Хотын дарга асан Ц.Батбаяртай уулзав.


-Та хаана, юу хийж байгаа вэ?

-Ойр зуур л байна. Өнөөдөр (өчигдөр) намынхаа Бага хуралд оролцож байна. МАН-ын Бага хурлын үеэр М.Энхболд дарга хийх ёстой ажлын талаар нэлээн ярьж байх шиг байна. Манай намын бага хурал энэ жилийн сонгуульд ялалт байгуулснаасаа хойш анх удаа хуралдаж байгаа. Тэгэхээр энэ хурал гишүүддээ нэлээн мэдээлэл өгсөн байх гэж бодож байна. Мөн юу хийх гэж байгаа, ямар зорилго тавьсан, хэрхэн хэрэгжүүлэх талаар ярилцсан.

-МАН сонгуульд ялалт байгуулаад Засгийн газраа бүрдүүлсэн. Гэвч одоогоор дорвитой хийсэн ажил харагдахгүй юм?

-Засгийн газар байгуулагдаад дөрөв, тавхан сар болж байна. Ийм байхад хувь хүн талаасаа дүгнэлт гаргах боломжгүй. Мэдээж хэрэг хийх ажил их байгаа. Ард түмэнд амласан амлалтаа санаж, биелүүлэхийн төлөө ажиллана. Засгийн газар ганцхан өдрийн дотор ажлаа хийчихдэг юм биш. Би бас төрд чамгүй олон жил зүтгэсэн болохоор зовлонг нь ойлгоно.

Гэхдээ анзаараад байх нь ээ Засгийн газарт хурд дутаж байна. Бас зориг дутаж байгаа. Аливаа ажлыг хурдтай бөгөөд зоригтой хийж байж үр дүн гардаг. Мөн боловсон хүчний томилгоонд анхаарал хандуулах хэрэгтэй юм болов уу гэж харж байгаа. Ний нуугүй хэлэхэд зарим томилгоонууд сэтгэлд хүрэхгүй байх тохиолдол олон.

-Албан тушаалын томилгоог ах дүү, хамаатан садны хүрээнд хийдэг болсон шиг харагдаад байгаа. Намын хурлаараа хэлэлцээд зөв голдрилд нь оруулж болохгүй юу?

-Тэрийг би мэдэхгүй. Би шийдвэр гаргах түвшний хүн биш.

-Гэхдээ та саналаа хэлэх эрхтэй.

-Эрхтэй. Ажлаа хийж чадахгүй байгаа хүнд хариуцлага тооцъё гэж ярьж байгаа. Шийдэх байлгүй дээ.

-Хариуцлагын талаар сүүлийн үед нэлээн ярьж байх шиг байна. Дөрвөн сайдыг огцруулах тухай хүртэл яриад амжсан. Өөрчилж чадах болов уу?

-Ямар ч байсан өөрчлөгдөх байх. Эдийн засаг хүнд байгаа ийм үед хамгийн түрүүнд гаднаас хөрөнгө оруулалт татах ёстой. Дээрээс нь үндэсний компаниудын ажил хийх нөхцөлийг төрөөс дэмжмээр байгаа юм. Үндэсний компаниуд ч гэсэн өөрсдөө гаднын түншүүдтэйгээ уулзаад тав, арван төгрөгийн зээл олчих юмсан гээд явж л байгаа байх. Энэ тал дээр төр зоригтойгоор дэмжээд өгөх ёстой юм. Танайх мөнгө оруулж ирж чадна гэвэл Засгийн газрын баталгаа гаргаад өгье гээд дэмжлэг үзүүлвэл үндэсний хэмжээний гэлтгүй дундаж орлоготой компаниуд ч босоод ирнэ. Тэгэхгүйгээр буюу Засгийн газрын баталгаагүйгээр гаднын нэг ч компани Монголд хөрөнгө оруулахгүй.

-Таныг аль нэг газарт томилогдож очно гэсэн яриа гарч байгаа. Та хаана ажиллах вэ?

-Тэр талаар ярьсан зүйл алга.

-Улс төр, нийгмийн тогтолцоог шинэчилье гэж яриад байгаа. МАН өөрчлөлтийг эхлүүлж чадах уу?

-Тогтолцоогоо өөрчилье гэж байвал одоогийн энэ төрийн бүтэц, зохион байгуулалтаасаа эхлэх ёстой. Төрд томилогдож буй хүмүүсээс эхлэх хэрэгтэй.

-Үндсэн хуулийн шинэчлэлийн талаар бас ярьж байгаа?

-Ний нуугүй хэлэхэд олонх болсон ийм үед Үндсэн хуулийн шинэчлэлийг хийхэд хэцүү. Нөгөө намууд хийлгэхгүй байх. Дангаараа 65 суудалтай байгаа үед Үндсэн хуулийг шинэчлэхэд өрөөсгөл зүйлүүд гарч ирнэ.

-Харин ч өөрчлөлт хийхэд саадгүй болсон юм биш үү?

-Хийж чадвал хийхэд хамгийн тохиромжтой цаг хугацаа. Гэхдээ цөөнх болсон нам нь бидний үгийг сонссонгүй, МАН дангаараа Үндсэн хуулийг өөрчиллөө гэж ард түмнийг турхираад байвал яах вэ.

С.АЛТАН

Categories
мэдээ цаг-үе

С.Зориг агсныг хөнөөсөн хэргийг прокурор улс төрийн биш ахуйн хүрээний аллага гэж үзэв үү

1990 онд өрнөсөн ардчилсан үйл явцыг удирдан зохион байгуулсан Санжаасүрэнгийн Зориг УИХ-ын гишүүн, Дэд бүтцийн сайдаар ажиллаж байхдаа 1998 оны аравдугаар сарын 2-ны өдөр гэртээ олон удаа хутгалуулан амь насаа харамсалтайгаар алдсан билээ. Энэ хэрэг олон жилийн дараа шүүхээр орох гэж байна. Монголчууд 18 жилийн турш энэ хэргийн үнэн мөн олдоосой, ямар учраас түүний амийг хөнөөх болов хэмээн хүлээсэн. Энэ хүлээлт эцэс болж байгаа бололтой. Харин энэ хэрэг үнэхээр зөв учгаар хуулийнхантай холбогдож байгаа юу гэдэг асуудал шүүхийн шийдвэр гарснаар тодорхой болох биз ээ. Шүүх хурлыг энэ сарын 14-ний өдөр буюу ирэх лхагва гаригийн 10:00 цагт Хорих 461 дүгээр ангид байрладаг шүүх танхимд хийхээр товлолоо. Шүүх хурлыг хэвлэл мэдээллийн байгууллагууд сурвалжлах журмын дагуу хурлын нууцын зэрэглэлтэй асуудлаас бусад үйл явцыг нээлттэй сурвалжлах боломж олгож болзошгүй гэсэн мэдээлэл бий. Юутай ч хэвлэл мэдээллийнхэн шүүх хурлыг сурвалжлах хүсэлтээ илэрхийлэх ёстой гэнэ. Харин шүүх бүрэлдэхүүн сэтгүүлчдийг шүүх хурлын явцын аль хэсгийг сурвалжлуулахаа шийдэх бололтой.

Энэ хэргийн сэжигтнээр Ц.Амгаланбаатар, Т.Чимгээ, Б.Содномдаржаа нарыг Эрүүгийн хуулийн тусгай ангийн 91.2.1, 91.2.4, 91.2.6, 91.2.10, 91.2.11, 91.2.12, 91.2.13, 91.2.15 гэсэн зүйл заалтыг үндэслэн шүүх юм байна. Шүүх хурлыг М.Алдар даргалах бол шүүх бүрэлдэхүүнд Б.Мөнх-Эрдэнэ, С.Оюунчимэг нарын шүүгчид багтжээ. Сэжигтнүүдийн өмгөөлөгчөөр Л.Мөнхтөр, С.Оюунцэцэг, хохирогчийн өмгөөлөгчөөр Л.Энхсайхан, Д.Дашдорж, улсын яллагчаар Б.Батжаргал, Ж.Сандагсүрэн, хохирогчоор ар гэрийнхнийг нь төлөөлөн УИХ-ын гишүүн асан, талийгаачийн төрсөн дүү С.Оюун оролцох юм. Улсын яллагч прокурорууд энэ хэрэгт яллах дүгнэлт үйлдэхдээ Эрүүгийн хуулийн тусгай ангийн 91 дүгээр бүлгийг үндэс болгосон байна. Энэ нь хүнийг санаатай алах гэсэн зүйл анги юм. 91.1.Энэ хуулийн 91.2-т заасан хүндрүүлэх нөхцөл байдалгүйгээр хүнийг санаатай алсан бол арваас дээш арван таван жил хүртэл хугацаагаар хорих ял шийтгэнэ. 91 дүгээр зүйлийг 2-ын нэг нь хүнийг шунахайн сэдлээр санаатай алах, 91.2.4 захиалгаар, 91.2.6.өөр гэмт хэргийг нуун далдлах буюу хялбарчлах зорилгоор, 91.2.10.хүнийг санаатай алах гэмт хэргийг давтан үйлдсэн (энэ хуулийн 93 дугаар зүйл хамаарахгүй), 91.2.11.бүлэглэж, урьдчилан үгсэж тохиролцсон бүлэг, зохион байгуулалттай бүлэг, гэмт бүлэглэл, 91.2.12.онц харгис хэрцгий аргаар, 91.2.13.нийтэд аюултай аргаар, 91.2.16. хоёр ба түүнээс олон хүнийг алсан бол гэсэн зүйл ангиудыг дурдсан байна.

Олон нийтэд ил болсон шүүхийн зараас харвал энэ хэрэгт хамаатай зүйл анги нь хүн амины хэрэг болж таарч байна. Тэгэхээр мөрдөн байцаалтад ажлын хэсгийнхэн болон прокурор С. Зоригийн амь насыг хөнөөсөн хэргийг ахуйн хүрээнд үйлдэгдсэн аллага хэмээн үзэж буй нь шүүх хурлын зараас тодорхой харагдаж байгаа юм. Тэгэхээр С. Зориг агсны амь насыг хөнөөсөн нь улс төрийн зорилготой аллага биш гэж үзсэн бололтой юм. Хэрэв улс төрийн захиалгат аллага гэж үзвэл давхар зүйлчлэл байх ёстой аж.

Эсвэл улсын нууцад хамаарахгүй зүйл ангиудыг шүүх хурлын тов дээр тэмдэглэсэн байж болох уу. Магадгүй “Маш нууц” гэсэн зэрэглэлээр шалгаж буй хэрэгт холбоотой заалтуудыг дэлгээгүй байж болохыг ч хэлэх хүн байсан юм. Энэ талаар эх сурвалжаас тодруулахад ”Энэ хэргийг илрүүлж, шалгах явц нь өөрөө нууцын зэрэглэлтэй болохоос энэ хэрэг нууцын зэрэглэлтэй гэсэн үг биш юм. Тэгэхээр энэ хэргийг ахуйн хүрээний аллага гэж үзсэн байна. Түүнээс хэрэг нь нууцын зэрэглэлд хамаарахгүй. Шүүхийн зараас энэ бүхэн тодорхой харагдаж байна. Улс төрийн захиалгат аллага гэж үзсэн бол давхар зүйлчлэл нь байдаг. Зүйл ангийг нууцлах шаардлага байхгүй” гэлээ.

Нөгөө талаар энэ хэрэг гарснаас хойш Эрүүгийн хуулийн 81 дүгээр зүйлийн хоёр дахь хэсэгт заасан Төр, нийгмийн зүтгэлтний амь биед халдсан гэсэн зүйлчлэл буюу 81 дүгээр зүйлийн нэгд “Улс төрийн үйл ажиллагаанд саад учруулах, Монгол Улсын засаг төрийг бусниулах, доройтуулах зорилгоор улс төр, олон нийтийн үйл ажиллагаатай холбогдуулан төр нийгмийн зүтгэлтнийг хөнөөсөн бол гэсэн зүйл ангиар анх энэ хэрэгт эрүүгийн хэрэг үүсгэсэн гэх мэдээлэл байдаг. Гэвч энэ нь мөрдөн байцаалтын явцад тогтоогдоогүй бололтой гэж харагдаж буй юм. Хүн амины хэргийг захиалгаар хөнөөсөн бол гэсэн зүйл анги байгаа нь улс төрийн аллага биш гэснийг хэрхэн салгаж ойлгож болох вэ гэсэн асуултыг нэг хуульчид тавьсан юм. Тэрбээр “тухайн үед С.Зориг агсан Засгийн газрын гишүүн бөгөөд Дэд бүтцийн сайд асан. Ийм албан тушаал хашиж байсан хүний амь насыг хөнөөлгөхөөр захиалга өгсөн л бол улс төрийн захилга гэж үзэх учиртай. Хэрэв энгийн иргэний амийг хөнөөлгөх захиалга өгсөн бол энэ нь захиалгат аллага болж буй хэрэг. Үүнийг хуулийнхан болон шүүх бүрэлдэхүүн итгэл үнэмшлийнхээ хүрээнд юу гэж харж, шийдэх нь шүүх хурлын дараа тодорхой болох биз” гэсэн юм. Юутай ч шүүх хурал болохтой зэрэгцэн энэ хэргийн эргэн тойронд ийм таамгууд явж байв.

Тухайлбал, Эрүүгийн хуулийн 91 дүгээр зүйлийн 2-ын нэг нь хүнийг шунахайн сэдэлтээр санаатай алах болон 91.2.4-т захиалгаар гэсэн заалт орсон нь анхаарал татаж байгаа юм. Дээрх гурван сэжигтэн аллага үйлдсэн юм бол захиалагч байгаа гэдэг нь тодорхой болно. Тэгэхээр тэр захиалагч лав тодорчээ гэж харагдахаар байгаа юм. Хэрэв сэжигтнүүдийг шунахайн сэдэлтэйгээр бусдын амь насыг хөнөөсөн гэж үзэж байгаа бол тэд юунд шунасан байх вэ гэдэг асуудал гарч ирж буй. Хэрэгт холбогдсон Ц.Содномдаржаа нь 42, Ц.Амгаланбаатар нь 35, Т.Чимгээ нь 40 гаруй настай. 18 жилийн өмнө тэд 17, 22, 24 настай байсан болж таарч байгаа юм. Гарч байсан мэдээллүүдээс үзвэл тухайн үед талийгаачийн гэрээс алуурчид бөгж, аяга зэргийг авсан гэж гэрч хэлдэг. Зарим таамгаар энэ нь хэргийг шунахайн сэдлээр үйлдсэн мэт харагдуулах гэж л тухайн эд зүйл авсан болов уу гэж үздэг. Харин зарим хүн алуурчид С.Зориг агсныхаас ямар ч эд зүйл аваагүй байх гэж таамагладаг. Чухам сүүлийн мөрдөн байцаалтаар сэжигтнүүд хүний амь хөнөөх ямар шунахайн сэдэл байв гэдэг тодорхой болсон бололтой. Энэ мэтээр олон өнцөг, таамаг гаргаж хөвөрдөж болох үндэслэлүүд байна. Дээрх хоёр заалтыг Улсын дээд шүүх хэрхэн тайлбарлаж вэ гэдгийг сонирхъё.

Эрүүгийн хуулийн 91 дүгээр зүйлийг шүүхийн практикт хэрэглэх тухай тогтоолд 5.2.“Шунахайн сэдэлт” гэдэгт гэмт этгээд өөртөө болон бусад этгээдэд эдийн буюу эдийн бус баялаг олж авах, эсхүл ямар нэгэн үүргийг гүйцэтгэхээс зайлсхийх гэсэн санаа зорилгыг ойлгоно. Уг сэдэлт нь гэмт хэрэг үйлдэхээс өмнө үүссэн байдгийг анхаарвал зохино. Шунахайн сэдэлтээр хүнийг санаатай алсан гэмт үйлдлийг Эрүүгийн хуулийн өмчлөх эрхийн эсрэг гэмт хэрэг гэх мэт бусад зүйл, хэсгээр давхар зүйлчлэх шаардлагагүй. Бусдыг санаатай алсны дараа эд хөрөнгийг авсан гэмт үйлдлийг шунахайн сэдэлтээр алсан гэж үзэхгүй гэсэн байна. Захиалгаар бусдын амь насыг хөнөөх гэдгийг тайлбарлахдаа уг тогтоолын 5.5.“Захиалгаар” гэж гэмт этгээд нь хэн нэгний хүсэлтээр, өөртөө болон бусдад эдийн ба эдийн бус ашигтай байдал бий болгох, эсхүл тодорхой болзол бүхий амлалт авч хүнийг санаатай алсныг хэлнэ. Энэ тохиолдолд захиалагчид Эрүүгийн хуулийн ерөнхий ангийн 35 дугаар зүйлийн 35.2, 37 дугаар зүйлийн 37.4-т заасныг журамлан тусгай ангийн 91 дүгээр зүйлийн 91.2.4-т зааснаар эрүүгийн хариуцлага хүлээлгэнэ. Уг гэмт хэргийг үйлдэхэд хатгагч, хамжигч, зохион байгуулагч нар идэвхтэй хамтран оролцдогийг анхаарвал зохино” хэмээсэн байна.

Эргэн сануулахад, Ц.Амгаланбаатар нарыг талийгаач Ш.Отгонбилэг агсантай холбоотой, ойр байсан гэдгийг хуулийн байгууллага шалгаж тогтоосон гэх мэдээлэл гарч байгаа. Яллагдагчаар татагдсан Б.Содномдаржаагийн ээж н.Жавзмаа УИХ-ын гишүүдэд хандаж гаргасан өргөдөлдөө хүүг нь хилс хэрэгт холбогдуулахдаа өөр хэрэгт мөн гүтгэж буй тухай өгүүлсэн байдаг. Б.Содномдаржааг хууль хяналтынхан өнгөрсөн оны сүүлээр баривчлан шалгаж эхэлсэн бол Ц.Амгаланбаатар нь таксины жолоочийн амь насанд хүрсэн хэргээр Орхон аймгийн шүүхээс цаазын ял сонссон ч Өршөөлийн хуульд хамрагдан 25 жилийн хорих ял эдэлж байгаа гэдэг. Т.Чимгээг нь Ц.Амгаланбаатарын ойрын хамаатан, ГОК-ын гуанзанд тогоочоор ажилладаг байсан хэмээдэг. Т.Чимгээгийн ах Т.Хүрэлбат “С.Зоригийг алсан хэргийн гол буруутанг илрүүлэх сонирхол улс төрчид болоод хуулийнхны хэнд ч байхгүй. Хамгийн гол нь хэргийг хэн нэгэнд үүрүүлж, хаах сонирхол байна. Тиймдээ ч хэрцгий алуурчин болох Амгаланбаатарын үгээр гэм зэмгүй хоёр хүнийг хилс хэрэгт гүтгэж байна” гэсэн нь анхаарал татдаг. Хэрэгт холбогдон шалгагдаж байгаа хүмүүсийн ар гэрийнхэн иймэрхүү зүйлсийг өнгөрсөн хугацаанд ярьсан. Тэгэхээр энэ хэргийг шүүх хэрхэн шийдвэрлэх нь олон нийтийн анхаарлыг татаж байна.


Categories
мэдээ цаг-үе

Бичигтийн боомт дээр цэвдэг сэтгэлтэй монголчуудын гарт адуун сүрэг маань өлдөж, хөлдөж тарчилсаар үхэж байна

Сүхбаатар аймгийн Эрдэнэцагаан сумын нутаг Бичигтийн боомт орох гээд явж байтал нум болтлоо гэдсээ татсан зээрд морь хатирч явахтай таарав. Хавирга нь хэрзийгээд, өнгө зүс нь гундаж, сүүл нь оодойчихсон, ташаан толгойны үс нь тэр чигтээ халцарсан байх аж. Газар үнэрлээд л хангинатал янцгаах юм. Үе үе эргэж харсаар хатирч харагдана. Гэтэл урд уулын зүүн мөрөөр мотоцикльтой хүмүүс гараад ирлээ. Нөгөө зээрд морины барааг харангуутаа л хаазлаад хурдалж гардаг байна шүү. Хэдхэн минутын дотор зээрд морийг гүйцчихэв. Мотоцикльтой залуус хашгирч, гуугачиж араас нь сигналдаж, зүүн тийш эргүүлэн туух гэж оролдож байв. Харин зээрд морь мотоцикльтой залуусын ар, өврөөр бултаж баруун тийшээ л тэмүүлэх аж. Залуусын нэг нь мотоцикльтойгоо гүйцэж очоод, шилбүүрээр өөд уруугүй ороолгож, голынхоо дуугаар хашгирах аж. Шилбүүрээр ороолгуулах бүртээ зээрд морь татганаж, гишгэгдэл алдаж байсан юм. Хэсэг хугацааны дараа зээрд морь, эцэж туйлдсан бололтой. Шаасан гадас шиг тэр дороо нам зогсчихлоо. Тэгсэн мотоциклийн ард сундалж явсан улаан шаргал дээл, хүрэн өнгийн хүрэмтэй залуу үсэрч буугаад л зээрд морийг тийрээд унагачихлаа. Тэгснээ хэд хэдэн удаа толгой руу нь өшиглөөд авлаа.

Энэ бүгдийг хараад зогсож байсан бид залуус дээр яваад очлоо. Залуустай мэнд мэдэлцээд, яагаад адуу мал зодож нүдээд байна вэ гээд шуудхан асуучихлаа. Тэгсэн намхан биетэй, бор царайтай залуу “Хувхай нугасандаа хутга шаалгамар чинь хашаа давж харайгаад нутаг руугаа зугтаачихдаг байна ш дээ. 20 хоног юу ч идээгүй юм байж шандастай муу шир байх нь. Гурван ч удаа хашаан дээгүүр харайгаад баригдаж байгаа юм. Биднийг 400 мянган төгрөгийн өрөнд оруулчих гээд байна” хэмээн уурсав. Зээрд морийг Дорноговь аймгийн Дэлгэрэх сумаас худалдаж авчээ.

Дэлгэрэх сумаас Бичигтийн боомт хүртэл гурав хоног ачигдаж ирсэн байна. Ингээд 19 хоног машины тэвшин дээр өвс, усгүй байсан гэнэ. Харин хоёр хоногийн өмнө бага хэмжээний өвс өгчээ. Зээрд морийг мяндсаар ногтолж, хөтлөөд явцгаалаа. Хар хөлс нь цувж, хөх мах нь чичирсэн зээрд морь дөрвөн хөлөө дааж ядан хөтөлж яваа хүний хойноос сажилж байлаа. Бид ч Бичигтийн боомтыг чиглэн хөдөллөө. Замд үхсэн адуу тэвшин дээрээ дүүртэл ачсан машин зөрж таарав. Хогийн цэг дээр аваачиж хаях гэж яваа бололтой.

“Бичигтийн боомт дээр 1200 гаруй адуу хилээр гарч чадахгүй 20 гаруй хонож байна. Шадар сайд У.Хүрэлсүх улсын хилээр адуу гаргахгүй гэсэн шийдвэр гаргаснаас хойш боомтын ойролцоо олон зуун адуу машины тэвшин дээр өлбөрч үхэж байна” гэх мэдээлэл хэвлэл мэдээллийн хэрэгслээр гарсан билээ. Энэ талаар сурвалжлага бэлтгэхээр Сүхбаатар аймгийн Эрдэнэцагаан сумын нутаг дэвсгэр Бичигтийн боомт дээр очихоор явж байхдаа дээрх үйл явдалтай таарсан юм.

Монголчууд молор эрдэнэ хэмээн үеийн үед шүтэж ирсэн адуугаа яаж тамлан, тарчлааж, турааж алж байгааг Бичигтийн боомт дээрээс харж болох. Банзан хашаагаар дүүрэн адуу хашсан байлаа. Багцаагаар 400 гаруй адуу байна, тэнд. Мөн хашааны гадна талд хүнд даацын машины тэвш дүүрэн адуу ачаатай байх аж. Нэг машины тэвшин дээр гараад харлаа. Тэвшин дээр байгаа адуунуудын сүр сүлдний талаар ярих ч юм биш. Бүгд олон хоног өлгүй байснаас болоод нүднээс гарчихсан, бүрзийсэн зогсож байв. Арай л гэж хөл дээрээ тогтож байгаа бололтой. Бие биедээ тулгуур болж байгаадаа л босоо байна уу гэмээр. Ташаан толгойны яс нь горзойгоод, хавирга нь хэрзийгээд харахаас нүд халтирмаар байв. Цагаан гүү, хул зүсмийн морь тэвшний хойд хэсэгт амь тавих гэж байгаа бололтой харагдлаа. Зүүн талын хашаанд байх дөрвөн ханатай гэрээс гурван залуу гараад ирлээ. “Хөөш юун хүмүүс машин тэрэг рүү өнгөлзөөд байгаа юм бэ. Яах гэж яваа юм” гээд нэлээд омогтой гарч ирцгээлээ. Тэдэнтэй мэнд мэдэлцээд, адуугаа хэзээ эргүүлэн татах гэж байгаа талаар асууж тодруулав. Хотоос компанийн захирал хүнд даацын машин хөөцөлдөж байгаа гэж сая ярилаа гэснээ “Энэ хэдэн үдээрийг Хятад руу гаргачих юм бол ажлын хөлс мөнгөө аваад явчихмаар байдаг. Гэтэл хилээр гаргахгүй гээд шийдвэр гарчихлаа. 20 хоног энд хийх ажилгүй зогслоо” гээд уурлаж байна. Тэгэхээр нь “Та нар яагаад уурлаад байгаа юм бэ. Адуу мал турж үхээд байна гээд хотод бөөн яриа болж байна шүү дээ” гээд асуулаа. Тэгсэн өөдөөс “Тэгэхгүй яах юм бэ. Энэ хэдэн адууг ачсанаас болоод баларч байна. Хамаг ажлаа алдаад 20 гаруй хоночихоор хэний ч гэсэн уур хүрнэ биз дээ. Бид ардаа амьдралтай хүмүүс шүү” гэв.

Өндөр биетэй өөрийгөө Ширэндэв гэж танилцуулсан залуу “Өө п….. нөгөө цагаан гүү, хул морь хоёр чинь үхэж байна ш дээ. Сэгнүүд чинь яасан үхэж дуусддаггүй юм бэ” гэж ачаатай адууг зүхэж хараасаар машины тэвшин дээр гарлаа. Тэгснээ арынхаа хаалтыг онгойлгов. Нэг нь цагаан гүүний сүүлнээс, нөгөөх нь чихнээс нь зулгаав. Харин Ширэндэв тэвшин дээрээс их биеийг нь хөлөөрөө түлхэж, шороон дээр пидхийтэл унагачихлаа. Цагаан гүү газарт унаад хэд хэдэн удаа татваганаж байгаад амьсгаагаа татчихлаа. Хул морийг мөн л энэ янзаараа буулгав. “Одоо наадах чинь тэгж байгаад үхнэ биз. Гэрт ороод хөзрөө үргэлжлүүлэх үү” хэмээн хоорондоо ярих ажээ. Хашаатай байгаа 40 гаруй адуу мөн л өр өвтгөмөөр дүр төрхтэй байна лээ. Олон хоног өвс идээгүйгээс болоод нэгнийхээ дэл сүүлийг юу ч үгүй болтол нь идчихсэн байх. Мөн хашааны буланг энд тэндээс нь хэмлэсэн шүдний ором мөр хаа сайгүй гарчээ. Хүн ирсэн бараанаар бүгд үүрсэж, хашаа л хөдөлнө гар дагаж хараад бүлтгэнээд л зогсоод байх юм. Хашаатай байгаа 400 гаруй адуунд 50-иад боодол өвс өчигдөр орой тавьж өгсөн гэсэн.

“ҮХСЭН АДУУГ НЬ ТЭВШИН ДЭЭРЭЭСЭЭ БУУЛГАЖ ШИДЧИХЭЭД Л СУУЖ БАЙНА”

“Түмний хүнс” компанийн ажилтан Б.Дэлгэрдалайгаас цөөн асуултад хариулт авлаа.


-Энд хэзээ ирсэн бэ. Хаанаас адуу ачиж ирсэн бэ?

-Энд ирээд сар болох гэж байна. Гэрээсээ гараад 40 гаруй хонож байна даа. Дундговь, Дорноговь, Сүхбаатар аймгаас ченжүүдээр дамжуулан худалдаж авсан адуу байгаа. Нэг адууг 70 мянган төгрөгөөр ачихаар тохиролцсон юм. Адуугаа ачиж дуусаад шороон замаар гурав хоног явсан. Арай гэж боомт дээр ирсэн Шадар сайд У.Хүрэлсүх улсын хилээр адуу гаргаж болохгүй гэсэн түр шийдвэр гаргасан. Хилээр адуу гаргахгүй гээд хорьчихсон. Компанийн захирал болон бусад хүн өнөө маргаашгүй хилээр гаргах юм гэнэ. Гаргасан хоригоо цуцлах гэж байгаа” гэсэн яриа гарсан. Тэгээд л гаргасан шийдвэрээ цуцлахыг нь хүлээгээд л энд байгаад байсан. Энэ байдлаар 20 гаруй хоночихлоо.

-Таны ачиж ирсэн адуунаас хэд нь үхсэн бэ. Яагаад машины тэвшин дээрээсээ буулгахгүй, өвс, ус өгөхгүй 20 гаруй хоног болгочихсон юм бэ?

-Адуу үхэж байгаатай бид ямар холбоотой юм бэ. Та нар эсэн мэнд ачаад боомт ор гэж хэлсэн. Бид ч ороод ирсэн. Адуу худалдаж авсан ченжүүд болон компанийнхан ачаатай нь байлгаж бай гэж хэлсэн. Эзэд нь тэгж хэлж байхад бид өмнөөс нь юу хэлэх юм бэ. 20 хоноод л миний ачиж ирсэн адуу эхнээсээ үхэж эхэлсэн. Одоогоор арав гаруй адуу үхчихсэн. Дандаа л хөгшин гүү үхээд байх юм. Анх сайхан тарган адуу ачиж байсан. Тэвшин дээр багталцахгүй чихэлдээд байдаг байсан юм. Одоо харин турж эцээд нүднээс гарч байна.

-20 гаруй хоног өвс идэж, ус уухгүй байхад ямар ч мал үхнэ шүү дээ. Ядахдаа тэвшин дээрээсээ буулгачихаж болоогүй юм уу?

-Өнөөдөр гаргаж чадсангүй, маргааш гаргана гэсэн. Адуугаа битгий буулгаарай гэж хэлсэн гэж сая ярьсан шүү дээ. Сүүлийн үед хөдөөнийхөн адуугаа огт унахаа больчихсон. Бүгд хангал дошгин байна. Энэ олон адууг ачих гэж бөөн юм болсон. Тэгэхээр ганцаараа байгаа би буулгаж чадахгүй. Хүмүүс гуйгаад буулгачихаж болно. Эргүүлээд ачиж чадахгүй шүү дээ. Өөрөөсөө мөнгө гаргаад өвс худалдаад аваад өгч чадахгүй. Үхсэнийг нь тэвшин дээрээсээ буулгаж шидчихээд л сууж байна.

-Шадар сайд хилээр амьд адуу гаргахыг хориглосон шийдвэр гаргасан. Та хэд адуугаа яах бодолтой байна вэ?

-Хот руу аваад явна гэсэн. 870 километр газар туулна шүү дээ. Хэд нь үхээд хот орохыг мэдэхгүй байна. Ямар ч байсан ус, өвс өгч байгаад л аваад явна даа гэв.

“ЖОЛООЧ, КОМПАНИЙН АЖИЛТНУУД МӨРИЙТЭЙ ТОГЛООД ДУЛААН ГЭРТ ХЭВТЭЖ БАЙХАД ГАДАА АДУУ ТУРЖ ҮХЭЭД БАЙЖ БАЙНА” ГЭВ

Хилийн боомтын орчимд амьдарч байгаа иргэд “Адуу ачиж ирсэн жолооч нар хилээр адуу гаргахгүй гэсэн түр шийдвэр гарснаас хойш энд архидаж байна. Мөрийтэй хөзөр тоглоод л дулаан гэрт хэвтээд байсан. Харин хөлдүү тэвшин дээр адуу турж үхээд л үнэхээр хэцүү байна. Унаж яваа машинаа бөөцийлөөд л бүтээгээд байдаг. Тэгсэн мөртлөө сүлд хийморь болгон шүтэж ирсэн адуу үхэж байгааг огт тоохгүй байна лээ” хэмээн мэдээлэл өгч байв. Биднийг очих үед 800 орчим адууг нийслэл рүү ачсан байлаа. Налайх дүүргийн “Цайз”, Сонгинохайрхан дүүргийн “Эмээлт” захуудад нядалгаанд оруулахаар ачиж байгаа нь энэ аж.

Бид Бичигт боомтын хажуу талын төмөр ногоон хашааны үүдэнд очлоо. Машины тэвшин дээр 30 гаруй адуу байв. Хоёр залуу гартаа хурц үзүүртэй шөвөг барьчихсан зогсож байв. Хот руу хөдлөх гэж байна. Хэвтчихсэн байсан хэдэн адууг босгож байна. Тэвшин дээр гараад адуун дундуур явж болохгүй юм. Хэвтчихсэн адуу өргөөд босохгүй. Харин хондлой руу нь шөвөгдчихөөр годос гээд л босоод ирж байна” гээд л инээд алдаад зогсож байлаа.

Үргэлжлэл бий

Categories
мэдээ цаг-үе

Шинжлэх ухааны хосгүй эрдэнэ болсон академич Д.Цэрэнсодномынд өнжлөө

Олон улсын Алтай судлалын төвөөс Монголын хоёрхон эрдэмтэнд “Алтан медаль”-иа хүртээсэн билээ. 1963 оноос дэлхийн эрдэмтдэд олгож эхэлсэн тус шагналыг 1965 онд нэрт эрдэмтэн Бямбын Ринчен гуай хүртэж байжээ. Харин үүнээс тавин жилийн дараа академич Далантайн Цэрэнсодномд энэхүү шагналыг олгосон байдаг. Түүхийг нэхэн дурссаны учир гэвэл энэ удаа Төрийн шагналт, Шинжлэх ухааны гавьяат зүтгэлтэн, академич Далантайн Цэрэнсодном гуайнд өнжсөнөө тодотгосон хэрэг л дээ. Үнэндээ Д.Цэрэнсодном гуайн гэрт гэхээсээ илүүтэйгээр түүний номын буюу ажлын өргөөнд илүү цагийг өнгөрөөсөн гээд хэлчихэд болно. Сайн эрдэмтэн улсын баялаг гэдэг. Баялгийн уурхай болсон Шинжлэх ухааны академийн Хэл зохиолын хүрээлэнгийн хоёр давхарт энэ эрхмийн ажлын өрөө байх аж. Болзсон цагтаа үүдийг нь тогшлоо. “Ор ор хүү минь” гэх хариугаар дотогш ороход бичиг, ном дүүрэн хураасан ширээний араас академич Д.Цэрэнсодном гуай өндийж ирээд “Та нарыг ирэхийг хүлээж суулаа” гэв. Түүний өрөөний хойморт Чойжи-Одсэрын хөрөг зураг залаастай харагдана. Чойжи-Одсэр бол XIV зууны яруу найрагч бөгөөд Монголын хэл, соёл, уран зохиолын гарамгай төлөөлөгч гэгддэг. Уг хөргөөс харц салгаж үл чадах намайг академич маань анзаарсан бололтой. “Миний анхны ганц сэдэвт эрдэм шинжилгээний бүтээл бол билгүүн Чойжи-Одсэр. Энэ бүтээлийн редактороор Цэндийн Дамдинсүрэн багшийг томилсон юм. Багшийн гэрт бүтээлээ бариад очлоо.

Тэгсэн багш “Тэнд тавьчих, уншиж байж редакторлох эсэхээ шийднэ” гэдэг юм байна. Хэд хоногийн дараа утсаар ярьж “Хүрээд ир” гэж дуудлаа. Гэрт нь очтол “Уншиж үзлээ. Чи арай ч үхэр шиг хивчихдэггүй юм байна” гэж хэлээд редакторлож байлаа” хэмээн сэтгэлдээ өнөөг хүртэл хадгалж явдаг ховор хийгээд сайхан дурсамжаасаа хуваалцлаа.Академич Д.Цэрэнсодномыг монголын хэл, соёлын арвин сан гээд тодорхойлчиход маргах хүн ховор биз ээ.

Монгол Улсын Ерөнхийлөгч асан Нацагийн Багабанди монгол айл бүрийг “Монголын нууц товчоо”-той болгох зарлиг гаргаж байсан. Тэр цагаас эхлэн монголчуудын гэрийн хоймор залагдаж буй “Монголын нууц товчоо”-г боловсруулж, эрдэм шинжилгээний судалгаа хийх ажлыг академич Д.Цэрэнсодном гуай ахалсан байдаг. Түүний болон түүнтэй гар нийлж баг болон ажилласан Төмөртогоо, Чоймоо, Дашцэдэн тэргүүтэй эрдэмтдийн хишиг буянаар бид өдгөө эх түүхийнхээ нэгээхэн хэсгийг үр хойчдоо өвлүүлэн үлдээж байгаа билээ. Эрдэмтдийн өөр өөрийн өнцгөөс өлгийдсөн “Монголын нууц товчоо”-ны судалгааны олон хувилбар байдаг.

Гэхдээ дээр дурдсан нь эдгээр эрдэмтдийн бүтээлийг нэгтгэж хамгийн түүхийн үнэнд ойртсон бүтээл гэж хэлж болох аж. Нэрт эрдэмтэн Ц.Дамдинсүрэнгийн хувилбараар анх Монголын ард түмэн “Монголын нууц товчоо”-той танилцаж байсан. Тухайн үед судалгаа, шинжилгээ хийхэд хүнд, одоогийнх шиг “бэлэн хоол” болчихоор ном бүтээл ховор байхад Ц.Дамдинсүрэн гуай өөрийн хосгүй авьяас билгээр ард түмэндээ ойлгогдохоор энгийн бөгөөд уран яруу тансаг бүтээл бүхий ном гаргасан болохыг академич Д.Цэрэнсодном гуай их л нямбай тайлбарлав. Ингээд академичийн ном бүтээлийн яриаг түр засварлаж ар гэр, ахуй амьдрал руу нь яриа хазайлгахаар болов.

АРСЛАН, ЗААН ТӨРСӨН АЛДАРТ БӨХИЙН УДМААС АНГИД МӨР ХӨӨСӨН АКАДЕМИЧ

Академич Цэрэнсодном гуайн туулж өнгөрүүлсэн амьдралын зам тийм ч олон салаа бус. Аравдугаар ангидаа шарлаж айхтар өвдөж байсныг эс тооцвол басхүү бартаат даваа, толгод ч үгүй мэт. Эрдмийн мөр хөөсөн хүүгийн эгэл амьдрал дардан хийгээд дээш тэмүүлсэн замналтай аж. Цэрэнсодном гуай Сүхбаатар аймгийн Халзан суманд төржээ. Түүний аав Чойдорын Дамдинсүрэн хүүгээ мэндлэх үед цэргийн албанд татагджээ. Тиймээс өвөө нь ээж Долгорыг нь өөр хүнд богтлоод өгчихсөн гэнэ. “Тухайн үед цэргийн албыг таван жил хаадаг байсан. Гэвч хугацаагүй, эргэж ирэх нь ч тодорхойгүй учир залуухан охиныхоо амьдралыг бодоод өөр хүнд богтолж намайг нагац ах маань өсгөсөн юм болов уу” гэв. Хар багадаа эцэг, эхээсээ хагацсан хүү үнээний сүүгээр угжуулж хүн болсон нь хожим харамсах бус харин ч ард түмэндээ хүндлэгдсэн эрдэмтэн болох зам мөрийг нээсэн байж болох юм. Түүний нагац С.Далантай үлгэр тууль ярьдаг, нутаг усандаа нэлээд алдартай дархан хүн байжээ. Тиймээс хүү улаан үнээний сүүнээс гадна үлгэр домгоор угжуулж өссөн гэнэ. Далантай хүүхдүүдийнхээ эрдэм мэдлэгт их анхаардаг сүрхий хүн байсан бөгөөд тооны хүрдгийг ягштал цээжлүүлдэг байж. Тиймээс Цэрэнсодном хүү нэгдүгээр ангид ороход үе тэнгийнхнээсээ мэдлэгээр хол давсан байлаа. Багш нарын зааж буй хичээл хүүгийн сонирхлыг татахгүй байсан тул хоёрдугаар анги руу дэвшин оруулсан байна. Улмаар сум, аймгийнхаа сургуулийг дүүргэж 1957 онд одоогоор МУИС-ийн Монгол хэлний ангид элсэн орсон байдаг. Тэрээр өөрийгөө болон үеийнхнийгээ их азтай хүмүүс гэв. Яагаад гэвэл Хэл шинжлэлийн агуу эрдэмтдийн төлөөлөл болох Т.Пагма, Ш.Гаадамба, Д.Чойжилсүрэн нараар хичээл заалгаж байсантай холбоотой юм. Ингэж л тэрээр эрдмийн замд эргэлт буцалтгүй орсон байна.Угтаа Цэрэнсодном гуай гайгүй сайн бөх болж болох байсан аж. Түүний аав Ч.Дамдинсүрэн цэргийн заан хүн. Дүү нь Цэрэнтогтох гээд аймгийн арслан бөх байжээ. Тиймээс түүнд бөхийн удам байсан боловч энэ талын авьяас, сонирхол нь дутмаг байсан гэхэд болно. Тэгээд ч “Над шиг бие жижигтэй бөх гэж юу байхав. Миний сонирхдог зүйл биш” хэмээн Цэрэнсодном гуай егөөдөөд авав.

Уламжлалт анагаах ухааны музей. Бэр хүү хоёрын хамт

ШИНЖЛЭХ УХААНЫ ЭРДЭМТЭН ЯРУУ НАЙРАГЧИЙН ШАГНАЛ АВЧ, ШИНЭ ХРОМОН ГУТАЛТАЙ БОЛСОН НЬ

Үнэндээ эрдэмтэн болохоос ихээ өмнө өрнөсөн түүх. Цэрэнсодном гуай хэл шинжлэлийн ухаанаар сурахын хажуугаар утга зохиолын дугуйланд явдаг байж. Академичаас “Та яруу найраг бичдэг байсан юм уу” гэж асуухад “Сурагч ахуйдаа “Суралцах замд” нэртэй өгүүллэг бичсэн. Манай уран зохиолын багш Д.Гомбожав. Нэг өдөр манай аймагт гурван том зохиолч ирсэн юм. Найруулагч С.Гэндэн, Ч.Лхамсүрэн,С.Дашдэндэв гээд. Би ч багшийнхаа дуудлагаар очиж энэ том хүмүүст өгүүллэг уншиж өглөө. Миний өгүүллэг гайгүй болсон юм байлгүй, хот руу аваад явчихсан. Харин оюутан болсныхоо дараа Лхамсүрэн гуайтай таарсан чинь “Чи өгүүллэгийнхээ шагналыг аваа юу” гэж байна. Би ч мэдэхгүй болохоор шагнал өгдөг эсэхийг нь лавлаад Лхамсүрэн гуайгаар заалгаж аваад Хэвлэх үйлдвэрийн ойролцоо нэг хаалгаар орлоо.Хаалгаар орох гэж явтал өмнөөс сэгсийсэн үстэй хүн зөрөөд гарлаа. Би ч тухайн үед дотроо их гайхав. Содон туссан хэрэг л дээ. Цаашаа өөр нэг өрөөний үүдээр шагайж ямар зорилготойгоо нэг хөгшинд хэлтэл надад өгүүллэгийн шагнал гэж 400 төгрөг өгөв.Миний амьдралдаа үзээгүй их мөнгө шүү дээ. Бөөн баяр болж өөртөө шинэ хромон гутал авч өмсөөд үлдсэнийг нь үрж дуусгах гэж зовсон шүү” хэмээн харааны шилээ дээш өргөж ирээд л хөхрөв. Түүнийг цочоож зөрсөн эрхэм бол Бямбын Ринчен гуай байсан гэнэ. “Үүрийн туяа” романыхаа шагналыг аваад гарч байсан нь тэр байж л дээ. Энэ тохиолдлын дурсамжийг академич их бэлгэшээдэг байна. Амьдралдаа авсан хоёр томоохон шагналыг Б.Ринчен гуайн араас хүртэх хувьтай байж.

Хүү Ц.Сүмбэрийн хамт

МОНГОЛЫН ХЭЛ, СОЁЛЫН АГУУ ЭЗЭД Ц.ДАМДИНСҮРЭН, Б.РИНЧЕНИЙ ҮРГЭЛЖЛЭЛ…

Их сургуулиа төгсөөд Цэрэнсодном Хэл зохиолын хүрээлэнд анх ажилд оржээ. Түүнээс авьяас билиг цухуйж буйг Ц.Дамдинсүрэн, Б.Ринчен нар аль хэдийнэ ажигласан байж. Ажилд ороод удаагүй байхдаа өнөөх айж гайхшруулсан сэгсийсэн үстэй Б.Ринчен багштай Увс, Ховдын хязгаарт судалгаа, шинжилгээ хийж хоёр сар хамт явсан нь тэднийг нэлээд ойр дотно болгожээ. Ринчен гуайг хамт хөдөө орон нутгаар явахад нутгийн хүмүүс их хүндэлдэг, хүүхдэдээ нэр хайрлаач гэж их ирдэг байсан тухай хуучлав. Д.Цэрэнсодном “Нэг удаа орон нутгаар салж ажиллах болоод эргэж уулзах болж Ринчен багшид цахилгаан явууллаа. Хоёр талаасаа уулзъя гэсэн утгатай захиа.Тэгсэн тэр цахилгаан захиаг багш барьчихсан “Энэ захиа тэдэн төгрөг төдөн мөнгө болох байлаа. Цэрэнсодном нуршуу бичсэнээс болж улсад тэдэн төгрөг, төдөн мөнгөний хохирол учрууллаа” гэж ирээд хошигнож билээ. Үгийн хазгай андахгүй. Багш надад “Насны нарыг жаргахаас өмнө амжихын төлөө шинжлэх ухаанд яардаг юм шүү” гэж захисныг би өдгөө ч мартдаггүй. Хичээж явна” гэв. Харин Ц.Дамдинсүрэн гуайгаар анхны ганц сэдэвт бүтээлээ редакторлуулснаас хойш дотно харилцаатай болжээ. Ц.Дамдинсүрэнтэй 1959 онд анх танилцаж байжээ. Тэрээр “Би 1985 онд Дамдинсүрэн багштай Германы Боннын их сургуульд болсон хуралд оролцсон. Аян замдаа сайхан ч яриа өрнүүлж байсан дурсамж бий. Бас л шударга, шулуун хүн. Миний шүтээн болсон хүмүүс юм даа. Албан тушаалд дургүй. Аль болох өөрийгөө чөлөөлж уран бүтээл, судалгаа шинжилгээний ажилдаа зориулах хүсэлтэй. Ш.Лувсанвандан багш байна.Монголын хэл, уран зохиолын гурван том сүмбэр уул” хэмээн санаа алдан бид чинь алтан үеийнхнээсээ маш бага зүйл авч үлдэж. Шанагаар хутгах эрдмээс халбагын төдийг л хутгасан байна шүү дээ” гэлээ.Академич Д.Цэрэнсодном гуай үнэхээр л багш нарынхаа хийж дуусгаагүй ажлыг залгуулж, Монголын агуу эрдэмтдийн үргэлжлэл болсон хүн гэж хэлмээр. Их мэргэдээс авч үлдсэн мэдлэгээ өдгөө Монголын үр хойчид түгээж яваа хүн билээ. Гэвч энэ биений сурч мэдсэн зүйлээс атгаад аваад үлдэх залуус ховор болсон нь түүний ганц эмзэглэдэг зүйл бололтой.

ШИНЖЛЭХ УХААНЫ АКАДЕМИЙГ АВАРСАН АЛТАЙ СУДЛАЛ

Түүнийг Алтай судлалын төвөөс “Алтан медаль” хүртсэн хоёр дахь монгол хүн гэж дээр дурдсан билээ. Тэгвэл түүний Алтай судлалын ачаар Шинжлэх ухааны академи татан буугдаж их сургуулийн бөөрөнд наалдахаас аврагдсан гэмээр сонин дурсамж үлджээ. Төрийн эрх мэдэлтнүүд гадна дотно үзсэн зүйлээ Монголд шууд буулгах гэж зүтгэж Шинжлэх ухааны академид юу ч хийдэггүй хэдэн эрдэмтэнг цалинжуулж байна хэмээн их сургуулийн харьяа болгох оролдлого их явж. Яг энэ эгзэгтэй үеэр эртний өвөг алтай хэлнээс салаалж гарсан манж, тунгуз, түрэг, ирэн, монгол, уйгар, перс эрэг хэл соёлыг судалдаг Алтай судлалын ажилд судалгааны үнэтэй хувь нэмэр оруулсан хэмээн олон улсын хүрээлэнгээс нэр хүндтэй шагналыг Д.Цэрэнсодном академичид олгожээ. Үүгээр Монголын эрдэм шинжилгээний судалгаа дэлхийд дээгүүрт явдаг гэдгийг нотолж чадсан байна. Мөн Цэрэнсодном гуайн томоохон судалгаа бол “Турфаны цуглуулга”. Энэ тухай академич “Дамдинсүрэн багш Герман явж ирэхдээ эрдэмтэн Э.Хэйнишийн бичсэн номыг өгсөн нь “Турфаны цуглуулга” л даа. Цуглуулга доторх уранхай, дутуу эх бичгүүдийг судалж эхэлсэн. 1963 оноос цуврал өгүүлэл бичсэн. Манай багш нар төдийгүй германчууд миний судалгааг дэмжиж, тоосон. Ингээд Германд жил зургаан сар судалгааны ажил бичсэн. Э.Хэйнишийг өөд болсных нь дараа ширээн дээр нь сууж судалгаагаа бичсэн. Тэгэхээр би их азтай хүн байгаа биз. Их буянтай хүний ширээн дээр суусан учраас надад тэр бага ч гэсэн буян заяа нь шингэсэн байж таараа” хэмээн хуучлаад цагаа харангаа “Хэдүүлээ одоо гэрийн зүг хөдөлье” гэлээ.


НЭГ СУРГУУЛИАС АМЬДРАЛАА ХОЛБОСОН ХОСУУД

Цэрэнсодном гуай хөл нь жаахан тааруу учир таягтай явах. Гэхдээ түүний явах зам маш тодорхой. Гэр, музей, Шинжлэх ухааны академи. Долоо хоногийн гурван өдөр ажлын өрөөндөө сууж эрдэм шинжилгээний судалгаандаа төвлөрдөг байна. Эрдэм шинжилгээний судлаач сууж сурсан байх хэрэгтэй хэмээн ёжтой инээнэ. Одоогоор академич маань докторын зэрэг хамгаалж байсан “Дундад зууны үеийн монголчуудын бичгийн яруу найргийн эх ундарга хийгээд өвөрмөц шинж” бүтээлээ ганц сэдэвт эрдэм шинжилгээний бүтээл болгохоор ажиллаж байгаа аж. Ингээд машинд өнөөгийн нийгмийн талаар ойр зуурын хэдэн үг солив. Тэрээр “Ард түмэн эсэргүүцээд ирэхээр төр засаг буруу ажиллаж байгаагийн том илрэл гэдэг. Хаашаа ч юм бэ дээ. Би ч улс төр хүсдэггүй болохоор олон юм яримааргүй байна” гэв.

Ингээд Цэрэнсодном гуайнд орлоо. Түүний гэргийг Доржийн Ичинхорлоо гэдэг.Тэрбээр МУИС-ийн химийн ангийг дүүргэжээ. Мөн Орост мэргэжлээ дээшлүүлсэн гэнэ. Сүүлд Хүнс, хөнгөн үйлдвэрийн яаманд ажиллаж байгаад тэтгэвэртээ гарчээ.Гэр бүлээрээ Герман болон Хятадад амьдарч байсныг эс тооцвол Улаанбаатартаа ихэнх амьдралаа үдсэн хоёр буурай аж. Д.Ичинхорлоо гуайгаас “Эрдэмтэн, судлаач хүний хань байна гэдэг амаргүй байх даа. Ар гэрээсээ илүү албан ажилдаа ихэнх цагаа зарцуулдаг болов уу гэж асуухад “Хэлэх юм биш. Ар гэрийн амьдралд тусалсныг асуух ч хэрэггүй” хэмээн хошигноод авав. Эднийх гурван хүүхэдтэй. Том охин Хадаа хоёр хүүхэдтэй, эцэг эхийнхээ цай хоолыг ганц дөхүүлдэг хүн гэнэ. Хүү Баяраа Германд амьдардаг бол бага хүү Сүмбэр гэр бүлээрээ аавынхаа байгуулсан Уламжлалт анагаах ухааны музейг хариуцан ажиллуулдаг. Д.Цэрэнсодном гуай “Би “Данжуур”-ын 225 ботийг бүтэн уншсан. Энэ их хөлгөн судрын таван боть нь анагаах ухааных байдаг. Миний биеийн тал нь уламжлалт анагаах ухаан болсон гэхэд болно. Анагаах ухааныг хөгжүүлэх төслүүдийг удирдаж энэ чиглэлийн оюутнуудыг унших номтой болгосон. Бурхан шашны номыг монгол уншлагаар уншуулах зорилготой “Номунгэрэл” төв байгуулаад сүүлдээ энэ төв анагаах ухааны музей болсон л доо” гээд музейгээ танилцуулахаар гарав. Тус музейд уламжлалт анаагах ухааны ховор нандин өвс ургамал, жор гээд хүний биед тустай хэрэгцээтэй зүйл цөм бүрэн гэмээр харагдана.Монголчууд сүүлийн үед ургамлын гаралтай, байгалийн гаралтай гээд Хятадын уламжлалт эмчилгээ рүү хошуурах болсон. Тэгвэл хил давах шаардлагагүй жинхэнэ уламжлалт анагаах ухааны мэдээллийг эндээс авч болох санагдав. Нас өндөр гарсан хэдий ч академич Д.Цэрэнсодном гуай ухаан саруул, билиг оюун танхай хэвээр аж. Тэрээр орос, англи, герман, хятад зэрэг гадаад хэлтэй. Түүний эрдэм шинжилгээний маш олон судалгааны бүтээл Монголдоо төдийгүй гадаадын олон оронд олон хэлээр хэвлэгдэн гарсан билээ.

Гэрэл зургийг Г.ЛХАГВАДОРЖ

Categories
мэдээ цаг-үе

Л.Идэрбат: Норовбанзад багшийг шуранхайлахад тэнд байсан хөгжимчид бүгд босоод зогсчихсон

Хөгжим бүжгийн их сургуулийн морин хуурын багш, Монгол Улсын гавьяат жүжигчин, морин хуурч Л.Идэрбаттай ярилцсаныг сонирхуулъя.


-Энэ сарын эхээр Үндэсний урлагийн их театр таны уран бүтээлийн цэнгүүнийг хийсэн.

-Манайх чинь Үндэсний дуу бүжгийн эрдмийн чуулга байсан шүү дээ. Саяхнаас Үндэсний урлагийн их театр болсон. Шинэ нэртэй болоод шинэ тоглолтынхоо хуваарийг гаргасан. Энэ хуваарийн хүрээнд миний уран бүтээлийн цэнгүүнийг зохион байгуулсан. Их Театрын уран сайхны зөвлөлөөс ахмад уран бүтээлчдийнхээ тайлан тоглолтыг хийе гэсэн санал дэвшүүлжээ. Ардын жүжигчин, зууны манлай уртын дуучин Н.Норовбанзад, Ардын жүжигчин, бүжиг дэглээч Ц.Сэвжид гээд олны танил болсон алдартай уран бүтээлчдийн цэнгүүнийг хийсэн юм. Би энэ театртаа 44 жил ажиллаад гавьяаныхаа амралтанд гарсан. 14 настайдаа анх орж байлаа. Одоо бол Хөгжим бүжгийн их сургуульд багшилдаг. Уран бүтээлийн цэнгүүн маань 70 насны ойтой давхацлаа.

-Та 14 настайдаа морин хуур тоглож сурсан гэсэн үү?

-1962 онд Л.Мөрдорж багш маань Үндэсний найрал хөгжмийг дөнгөж байгуулчихаад байсан үе. Тэр найрал хөгжмийн нэг эд эс нь би. Тухайн үед одоогийн Хөгжим бүжгийн сургууль Хөгжим бүжгийн дунд сургууль нэртэйгээр дөнгөж байгуулагдсан, төгсөгчгүй байсан. Би Үндэсний найрал хөгжимд орж, оройгоор сургуулиа төгссөн. Ингэж 14 настайдаа Үндэсний найрал хөгжимд дагалдан хөгжимчин болсон юм. Намайг тэр үеийн уран сайхны удирдаачаар ажиллаж байсан Ардын жүжигчин Л.Цогзолмаа, Ардын жүжигчин Л.Мөрдорж гуай хоёр шалгаж авч билээ. Л.Мөрдорж гуай манай чуулгын хөгжмийн багш байсан. Миний аавыг Лувсангомбо гэдэг. Хүмүүс халзан хуурч гэдэг. Өөрөө намайг дагуулж ирж шалгуулсан юм. Хөгжимчин хүн байсан болохоор намайг өөр шигээ морин хуурч болно гэж бодож. Ингээд шалгуулаад тэнцсэн. Тухайн үед хөгжмийн сургууль төгссөн хүн байгаагүй. Ингээд би Батбаяр гэж хүнд шавь орсон. Одоо 80 нас гарсан хүн бий. Морин хуурч болохоор сургалтаа дөнгөж эхлэх гэж байхад Л.Мөрдорж багш маань, Ардын жүжигчин Г.Жамьянг авчирч надад мэргэжлийн тавилт заалгуулж билээ. Морин хуурч болохоор олон хүүхэд суралцаж байхад Л.Мөрдорж багш ганцхан надад тавилт заалгуулах гэж Г.Жамьян гуайг дуудаж авчруулсан юм. Нарийн мэргэжил болохоор энэ нь их чухал л даа. Яагаад ч юм, Л.Мөрдорж багш маань надад их анхаарал хандуулдаг байсан. Ингэж яваад л мэргэжлийн морин хуурч болсон доо.

-Л.Мөрдорж гуай таныг авьяастай, сайн хөгжимчин болно гэдгийг мэдээд ингэж анхаарал хандуулсан байх аа.

-Тийм л алсын хараатай хүн байж гэж би ойлгодог. Намайг өдий хүртэл морин хууртай нөхөрлүүлсэн хүн дээ. Төрийнхөө хуурын буянг эдэлж байна аа. Л.Мөрдорж гуайн дараа Чойдог багш маань чуулгад ирсэн. Мэнд-Амар, Чойжилжав болон надад гээд хэдэн хүнд тусгайлан хичээл орно. Эргээд бодоход хүнээ олдог л байж дээ гэж бодогддог юм. Бид залуу байж, халааг нь аваад явчихна гэж боддог байсан байх л даа. Хөдөлмөрийн баатар, Ардын жүжигчин Д.Лувсаншарав гуай манай уран сайхны удирдаачаар ажилласан. Мань хүн юм л бол намайг өрөөндөө дуудаад даалгавар өгнө. “Энэ үгэн дээр ая хийгээд надад үзүүл” гэнэ. Ая зохиогоод очихоор болохгүй байна гээд л буцаана. Д.Лувсаншарав багш маань нэг бүжгийн ая хийчихээд “Үүний оркестрыг хийгээд ир” гэнэ. Яаж хийхийг нь хэлж өгөхгүй. Миний ухааныг задлах гээд л тэр. Хийгээд очихоор үзчихээд болоогүй байна гээд ураад хаячихна. Тэр олон хөгжмийн оркестрыг хийнэ гэдэг хэцүү шүү дээ. Урлагийн гавьяат зүтгэлтэн Г.Цэрэндорж гэж хүн байлаа. Эдгээр хүмүүс надад маш ихийг зааж сургасан. Жаахан хүүхэд байсан болохоор үгэнд нь орохгүй, заасан юмыг нь суралгүй алдсан явдал бий. Ийм мундаг багш нарыгаа би их хүндэлж, хайрлаж явдаг юм. Чуулгынхаа гурван үеийг үзлээ би. Анх Улсын ардын дуу бүжгийн чуулга, дараа нь Үндэсний дуу бүжгийн эрдмийн чуулга болсон. Одоо харин Үндэсний урлагийн их театр боллоо.

-Та чуулгад зууны манлай уртын дуучин Н.Норовбанзад гуайтай цуг ажиллаж олон удаа хамт бэлтгэл сургууль хийж, тайзан дээр цуг гарч байсан гэсэн?

-Тэгэлгүй яахав. Анх уртын дууг тоглох ухааныг алдарт дуучин Ж.Дорждагва гуайгаас сурч билээ. Тэр чинь 1963 он, “Алтайн дуу” гэдэг концерт тоглох гэж байлаа. Ж.Дорждагва гуай тайзан дээр гарахдаа хүүхдээр хуурдуулна гээд. Тэгээд намайг хажуудаа суулгаад “Өвгөн шувуу”-г хуурдуулж өөрөө дуулж билээ. Уртын дуу хуурдахыг тэгж л анх сурсан. Намайг жаахан хүүхэд байхад аав маань ч уртын дуу их зааж өгнө. Их л холыг харж дээ. Морин хуур тоглож сурахад аавын маань зааж өгч байсан дуунууд цээжинд байж байсан шүү. Тэгээд л Ж.Дорждагва гуайгаас эхлээд Норовбанзад, Лхамжав, сүүлийн үеэс Баттөмөр, Түвшинжаргал, Нэргүй гээд бүгдтэй нь хуурдсан даа. Н.Норовбанзад гуайтай би анх удаагаа гадаад явж байлаа. 1966 онд Ташкентад болсон Монгол-Зөвлөлтийн залуучуудын их наадамд оролцсон юм. Н.Норовбанзад багш маань ч их залуу байж дээ. “Алтан Богдын шилийг дуулсан. Концерт дундаа ороод Монголын жүжигчдийг зарлалаа.

Тэр хооронд би морин хуурынхаа хөгийг нэлээд дээшлүүлчихсэн. Томоогүй байж л дээ. Ингээд л тоглоод эхлэхдээ “Дээшээ шуранхайлаад яадаг бол” гэж бодоод их айж билээ. Ингээд ая улам дээшээ явахад Н.Норовбанзад гуай толгойтой үс арзайтал шуранхайлж билээ. Тэгэхэд театрын яаманд сууж байсан хөгжимчид босоод ирсэн. Тэднийг дагаад үзэгчид боссон. Өөр гариг, ертөнцөөс ирсэн юм шиг уртын дууг их сайхан дуулдаг байсан. Тэгж л би Н.Норовбанзад гуайн агуу авьяас, ухааныг мэдэрсэн юм.

-Та Н.Норовбанзад гуай Японд очиж тоглоход нь цуг явж байсан биз дээ?

-Явж байсан. Зууны манлай уртын дууч маань өөрийн гарын хуурчтай байсан шүү дээ. Гавьяат жүжигчин Цогбадрах гэж байлаа, их сайхан тоглоно. Японд тоглолт хийхдээ “Уяхан замбуу тивийн наран”, “Хоёр бор”-ыг бас Японы уртын дуу маягтай дууг дуулна. Судалж байгаад яг япон үндсэн маяг ухааныг олж дуулдаг хүн байсан. Үзэгчид уйлаад л сүйд болно. Тоглолтоо дуусаад гараад ирэхэд нь гадаа хүмүүс хүлээж байгаад зургаа авахуулна гээд л. Тийм мундаг хүнтэй цуг явахад их сайхан байдаг байсан.

-Та манай алтан үеийн гэгддэг нэртэй жүжигчид, дуучидтай цуг ажиллаж байсан юм байна шүү дээ.

-Тийм ээ, бүгдтэй нь хамт ажилласан. Түмэндэмбэрэл, Дорждэрэм, Норовбанзад, Сүглэгмаа гээд л. Алтан үеийнхэн маань уран бүтээл дээрээ хэрхэн ажиллахын гайхамшгийг үзүүлж байсан. Тэр үеийн ардын урлагийнхан мэргэжлийн сургууль төгсөөгүй. Уртын, ардын дууны сургууль гэж байсангүй, хөгжмийн сургууль дөнгөж байгуулагдаад байсан үе.

-Та олон шавьтай биз дээ?

-50 –иад оюутан бий.

-Ингэхэд сүүлийн үед эмэгтэй морин хуурчид нэлээд их болсон. Энэ талаар ямар бодолтой байна. Урьд нь яагаад эмэгтэй хүн морин хуур тоглодоггүй байсан юм бол?

-Уламжлал, цаг үетэй холбоотой байсан болов уу. Ер нь яагаад болохгүй гэж, болно шүү дээ. Бүгд л эмэгтэй хүнээс төрдөг. Одоо бол цаг үе өөр болсон.

Categories
мэдээ цаг-үе

Хууль тогтоомжийн тухай хууль ирэх оны нэгдүгээр сарын нэгнээс хэрэгжинэ


УИХ-ын 2012 оны 37 дугаар тогтоолоор баталсан Засгийн газрын 2012-2016 оны үйл хөтөлбөрт “Хуулийн тухай хуулийг батлуулж, хуулийн хэрэгцээ шаардлага, хэрэгжилтийг үнэлдэг болох”-оор заасныг хэрэгжүүлэх үүднээс Хууль тогтоомжийн тухай хуулийг 2015 онд баталсан. Уг хуулийг 2016 оны нэгдүгээр сарын нэгнээс хэрэгжүүлэхээр төлөвлөсөн ч бэлтгэл ажил хийгдээгүй тул 2017 оны эхний өдрөөс эхлүүлэхээр болсон билээ. Өнөөдөр Монгол Улсын хэмжээнд 605 хууль хүчин төгөлдөр мөрдөгдөж байгаа юм. Гэхдээ хуулийг “гууль” болгох, хуулийг гурилдаж баталлаа гэхчилэн элдэв яриа байдаг. Харин Хууль тогтоомжийн тухай хууль хэрэгжиж эхэлснээр УИХ-ын хууль санаачлах, боловсруулах ажиллагааны үндэслэл, чанарыг сайжруулах, хууль тогтоомжийн хэрэгжилтийн үр нөлөөг үнэлэх замаар хууль дээдлэх ёсыг бэхжүүлэх юм байна. Уг хуулийн 4.1.3-т “Ашиг сонирхлын зөрчлөөс ангид байх” гэсэн шаардлага байгаа. Манайхан хууль боловсруулахдаа хүртэл ямар нэгэн ашиг сонирхол агуулж байдаг талаар учир мэдэх хүмүүс нь хэлдэг. Тэр бүү хэл өөрт нь таалагдсан шийдвэр гаргаагүй болон өөрийнх нь эсрэг зүйл ярьсан бол ямар нэгэн ашиг сонирхлын үүднээс асуудалд хандан хууль боловсруулах гээд зүтгэчихдэг тал бий. Харин үүнийг 4.1.3-аар хориглож байгаа аж.

Удахгүй хэрэгжих Хууль тогтоомжийн тухай хуулийн 11.1-т зааснаар хууль санаачлагч хууль тогтоомжийн төсөл боловсруулахтай холбогдон гарах зардлыг өөрийн төсвөөс санхүүжүүлэхээр болжээ. Мөн хууль тогтоомжийн төсөл боловсруулах ажлыг хууль тогтоох үйл ажиллагаанд хууль бусаар нөлөөлөх зорилгогүйгээр ашгийн бус байгууллага санхүүжүүлж болохоор тусгасан байх юм. Өмнө нь хууль боловсруулан өргөн барихдаа зорилгыг нь чухалчилдаг байсан бол энэ хуулийн хүрээнд Ерөнхийлөгч, Улсын Их Хурлын гишүүн өөрийн санаачлах хууль тогтоомжийн төслийн үзэл баримтлалыг тодорхойлж, батална гэсэн байна. Ингэснээр уг хуулийн үзэл баримтлал хамгийн чухал болж таарч байгаа юм.

Түүнчлэн 21.10-т “Санхүү, төсвийн асуудал эрхэлсэн төрийн захиргааны төв байгууллага Ерөнхийлөгч, Улсын Их Хурлын гишүүний санаачилсан хууль тогтоомжийн төсөл нь Төсвийн тогтвортой байдлын тухай хуульд заасан төсвийн тусгай шаардлага, Төсвийн тухай хуульд заасан төсвийн бусад шаардлага, эдийн засаг, нийгмийг хөгжүүлэх үндсэн чиглэл, дунд хугацааны төсвийн хүрээний мэдэгдлийг хангаж байгаа эсэх талаарх Засгийн газрын санал, дүгнэлтийн төслийг бэлтгэж, энэ хуулийн 21.4-т заасан хугацаанд хууль зүйн асуудал эрхэлсэн Засгийн газрын гишүүнд хүргүүлнэ” гэжээ.

Хуулийн 30.1.2-т нэг нэр томьёогоор өөр өөр ойлголтыг илэрхийлэхгүй байх, 30.1.3-т үг хэллэгийг монгол хэл бичгийн дүрэмд нийцүүлэн хоёрдмол утгагүй товч, тодорхой, ойлгоход хялбараар бичих хэмээн заасан юм. Өнгөрөгч парламентын үед хоёрдмол утга бүхий үг хэрэглэн хуулийн төслөө аль нэг тал руу хэлбийлгэх боломжтой байгааг гишүүд хэлдэг байсан. Тэгвэл үүнийг хуулиар хориглож өгч байгаа нь энэ юм байна.

Мөн хуулийн 48.1-т зааснаар “Хуульд тусгайлан зааж эрх олгосноос бусад тохиолдолд нийтээр дагаж мөрдөх хэм хэмжээ тогтоосон эрх зүйн акт гаргахыг хориглоно”, 48.2-т “Хуульд нийцээгүй, эсхүл хуулиар олгосон эрхийг хэтрүүлэн гаргасан нийтээр дагаж мөрдөх хэм хэмжээ тогтоосон эрх зүйн актыг дагаж мөрдөхгүй” байх юм. Хуулиар мөн “Хуульд өөрөөр заагаагүй бол нийтээр дагаж мөрдөх хэм хэмжээ тогтоосон эрх зүйн акт гаргах эрх хуулиар олгосон этгээд уг эрхээ бусдад шилжүүлэхийг хориглоно” гэжээ.

Хууль тогтоомжийн хэрэгжилтийн хяналт шинжилгээ, үнэлгээний дүнг Улсын Их Хурал, Засгийн газар жилд нэг удаа тогтмол хэлэлцэж хууль тогтоомжийн хэрэгжилтийг эрчимжүүлэхээр болжээ. Мөн хууль тогтоомжид зохих нэмэлт, өөрчлөлт оруулах, хууль тогтоомжийг хооронд нь уялдуулах, хууль тогтоомжийг системчлэн кодификаци хийх, шинээр хууль тогтоомжийн төсөл боловсруулах зэрэг арга хэмжээг авч хэрэгжүүлэх гэнэ.

Э.ЭНХ


Categories
мэдээ цаг-үе

Цөлийн сарнай

Өнгөрсөн долоо хоногт Байгаль орчин, Аялал жуулчлалын яамны шугамаар Арабын Нэгдсэн Эмират Улсад тав хоногийн хөтөлбөртэй яваад ирлээ. Гол зорилго маань цөлийг хэрхэн ойжуулсан, услалтын системээ яаж шийдсэн, аялал жуулчлал хэр хөгжсөн, сэргээгдэх эрчим хүч, Арабын ертөнц ямар байдгийг үзэж судлах, мөн өндөр хөгжсөн оронтой Монголоо бага зэрэг харьцуулалт хийх гэх мэт.

Эмират нь долоон муж улсаас бүрдсэн нэгдсэн улс аж. Олон үндэстнээс бүрдэнэ. Энэтхэг, Пакистан арай их хувь эзэлнэ, эмиратууд нь ердөө 12 хувь (уугуул арабууд). Энд арван хүн тутмын нэг нь араб хүн. Хаантай, сонгууль гэх мэт нь огт болохгүй. Эдгээр улс нь нэг нэгээрээ Английн колончлолоос гарсаар 1971 онд Арабын Нэгдсэн Эмират Улс бий болжээ. Саяхан арванхоёрдугаар сарын 2-нд 45 жилийн ойн баяраа нүсэр тэмдэглэх шиг болсон.

Нэг хүнд ноогдох ДНБ 38 мянган ам.доллар. Абу Даби нийслэлдээ хаан өөрөө сууна, хот байрлах газар нутаг нь нефтиэр асар баялаг. Энд нэг литр цэвэр ус нэг литр нефть хоёрын хооронд ердөө 30 центийн зөрүүтэй, мэдээж импортын брэнд цэвэр ус арай илүү үнэтэй. Ерөнхийдөө усны хэрэглээндээ их эмзэг ханддаг, ерөнхий боловсролын сургуульд нь усны зөв хэрэглээний талаар маш сайн зааж хүмүүжүүлдэг. Зундаа хэт их халдаг тул усны хэрэглээ 92 хувь хүртлээ огцом өсдөг гэнэ лээ.

Абу Даби бүхэлдээ ногоон байгууламж. Цөлд ой бий болгоод тэр ой дотроо бүгдээрээ сайхан амьдрах аж. Манайх шиг -30, -40 хүрч хөлдөөчихгүй, байнгын зунаараа байдаг болохоор өвөлд бэлдээд сүйд болоод байхгүй, зураг төслөө гаргаад, хаана нь зам байна, тэрний хажууд модоо тарьчихна.Тэгээд бүх модоо нэгдсэн услалтын системээр холбож байгаад нарны элч жаахан багасаад ирэхээр услаад эхэлнэ, хурц наранд услалтын 70-80 хувь агаарт ууршдаг болохоор аль болох шөнөөр дуслын систем ашиглаж усалдаг байна.

Орчуулагч нөхрийн ярьснаар бол Абу Даби, Дубай хоёрыг яаж ингэж ойжуулсан юм бэ гэж жуулчид их асуудаг гэнэ. Хариулт нь их энгийн. Хаан нэг өдөр таван сая мод тарь гэж зарлиг гаргаж, тэгээд л тариад эхэлсэн. Усалгааг далайн усыг цэвэрлэж саарал ус бий болгоод л шийдчихсэн гэж хээвнэг ярив.

Мэдээж шинжлэх ухаан талаасаа их судалгаа хийсэн байж таарна. Цөлд ургадаг модыг эр эмээр нь сөөлжилж тарина, байнгын арчилгаа шаардана, тэр их ажилчны цалин мөнгө гээд эдийн засаг талаасаа ч асар их хүчин зүйл орсон байж таарна. Манайх шиг зун болгон нэг мод тарьсан болоод хаяад явчихгүй, юу ч үзэгдэхгүй элсэн цөлийг 20 жилийн дотор ойжуулна гэдэг зөвхөн мөнгө, ажиллах хүчээс гадна улс эх орноо гэсэн сэтгэл тун чухал. Нийслэл Абу Дабид хүний гараар бүтсэн хамгийн том хиймэл арал бий. “Яас айланд” бол 25 км.кв хиймэл арал юм. Сингапур улсын Сентоза арлаас тав дахин том хиймэл арал руу эх газраасаа газар доогуур нэг км туннелиэр холбогдоно. Хиймэл арал дээр Феррари машины үйлдвэр, зургаан одтой зочид буудал, Формула-1 уралдаан болдог гээд ер нь байхгүй юм гэж алга. Удахгүй Америкийн кино компани болох “Варнер Брос” өөрсдийн студиэ хиймэл арал дээр нээнэ гэнэ. Лос-Анжелес хотод байдаг “Юниверсал студи Холливүүд” шиг жуулчдыг татах үзвэр нэгээр нэмэх юм байна. Хиймэл арал хийгээд тэр арлаа ойжуулаад, жуулчдыг татаад байгааг нь бодохоор арабууд чинь бүгд клашник буу бариад гүйлдээд байдаг хүмүүс биш бололтой. Сүүлийн үед холливүүдийннхэн кино зураг авалтаа энд ирж хийх болжээ. “Галзуу хурд 7”, Том Крүзийн тоглосон “Биелэгдэшгүй даалгавар” гэх мэт холливүүдийн алдартай киноны зураг авалтыг энд хийжээ. Тэр ч битгий хэл, алдарт Майкл Жексоныг амьд сэрүүн байх үед нь урьж тоглолтыг нь хийлгэх гэж байсан гэнэ. Даанч Саудын Арабын шахалтаар цуцлагдаж байсан гэж орчуулагч маань дурамжхан ярина лээ.

Персийн булангийн орнуудаас аялал жуулчлал хамгийн хөгжсөн нь Дубай. Хот ногоон байгууламжаас гадна бүхэлдээ уран барилга. Энд үл хөдлөх хөрөнгийн арилжаа их хөгжсөн. Рийл стэйт буюу үл хөдлөх хөрөнгийн бизнес нь хотын төсвийнхөө 65 хувийг босгодог гэнэ. Хотын ногоон байгууламж нь Абу Дабитайгаа адилхан арга техникээр шийдэгдсэн. Аялал ,жуулчлалын бүс гэсэндээ архи, пиво гэх мэт алкохолын төрлийн ундааг эндээс олно. Гэхдээ дэлгүүрт нь зарахгүй зөвхөн зөвшөөрөгдсөн газруудаар үйлчилдэг гэсэн. Энэ тал дээр хууль хатуу. Аялал, жуулчлал өндөр хөгжсөн нь олон хүчин зүйлээс хамаарна, Арабын ертөнцөөрөө үзвэрийн газар шиг биш, их хатуу дэг жаягтай болохоор араб ертөнцийг хамгийн аюулгүй түвшинд үзэхийг хүссэн хүмүүс бүгд энд цуглана. Илүү эрсдэлтэй аяллыг хүсвэл хажууханд Ирак, Иран гэх орнууд бий. Аялал жуулчлалын гол зарчим нь аюулгүй, үйлчилгээ сайн, ямар ч хулхи бүдүүлэг харьцаа байхгүй, соёлтой найрсаг гээд үйлчилгээ талдаа шаардлага өндөр.

Араб ертөнцөд эмэгтэй хүний эрх гэж байхгүй, эрэгтэйчүүд нь хэдэн ч эхнэртэй байж болдог гэж ойлгодог байсан үе саяхан, гэвч энд тийм биш юм. Яахав, Дубaйгаас бусад араб орнуудад очвол яадаг юм гэхээс. Энд араб эмэгтэйчүүд нь мини юбка өмсөөд тамхиа зуучихсан машин унаад хурдны замаар жирийлгэж явааг хараад нэг их гайхах хэрэггүй. Ганц нэг шейхүүд нь хоёр эхнэртэй. Ногоон хөгжил, аялал жуулчлал өндөр хөгжсөн шалтгаан нь нефтиэс олсон мөнгөө боловсролдоо ихээр зарцуулжээ. 2020 он гэхэд хамгийн тогтвортой хөгжилтэй улс болохыг зорьж байгаа аж. Үүний тулд эдийн засаг, байгаль орчин, хүний хөгжлөө илүү анхаарч байгаа гэнэ. Уугуул арабчууд хаанаасаа эхлээд дийлэнх нь Англид боловсорсон, Хаан өөрөө Оксфордыг төгссөн байна. Англи хэлгүй араб гэж бараг байхгүй ээ. Манайх шиг харийнхан зайл, харь хэл сурахгүй гэж орилолдвол толгойгүй болж мэднэ. Амьдралаа авч явахад бизнес хэрэгтэй, баруунтай бизнес хийхэд хэл хэрэгтэй. Харь хэл нь мөнгө олоход тусалж байвал тэр харь хэл биш, харин ч амь зуух хэл болж хувирна.

Дубайгаас цаг хэртэй давхиад элсэн Сафарид очлоо. Япончууд зөвхөн тэдний Сафарид зориулж “Middle East” ланд крүзер 200-г нийлүүлдэг байна. Араб хөгжмөө асааж байгаад дрифтийг элсэн дээр янзын үзүүлнэ. Манайхан наадмаар морио дагаад машинаар давхидаг ч үүний хажууд нь юу ч биш юм аа. Ялгаа нь, тэнд босох шороогүй, харин ланд крүзер машин л шороо шиг их юм. Элснээс тоос босдоггүй ажээ.

Амралтын өдрөөрөө хотоосоо гараад хурдны замынхаа хоёр талаар түүдэг гал асаан шийшаа тамхиа татаaд элсэн дээрээ хоночих юм. Бидэн шиг сүүлд суурин амьдралтай болсон болохоор ч тэр үү, их ойр дотно харагдсан. Зундаа Туул голоо дагаад гал асаагаад сууцгаадаг шиг дотнохон. Тэдний хувьд гол мөрөн байхгүй учир хурдны зам нь гол шиг үнэ цэнэтэй шахуу.

Эмират аялал, жуулчлалын тогтвортой хөгжлийн төлөвлөгөөгөөр 2021 онд гадаад, дотоодын аялагчдын тоог 45 саяд хүргэнэ гэж үзжээ. Тиймээс “Ecotourism” буюу ‘эко-аялал, жуулчлал”-ыг хөгжүүлэх шаардлагатай болсон гэнэ. Энэ их хүний хөлд далайн эргээсээ эхлээд, агаарын бохирдол нөгөө хэдэн тарьсан мод, цэцэг нь сүйд болно.

Эко аялал жуулчлал нь эдийн засаг талаасаа ч ач холбогдол өндөр, ажлын байр илүү ихээр бий болгож, ганц нефтиэсээ хамааралгүй солонгорсон эдийн засгийг бий болгоно. Улсын төсвийн хориод хувь нь аялал жуулчлалын салбараас бүрддэг. Эко аялал жуулчлал нь үндэсний өв соёлоо хадгалж үлдэхэд нь чухал нөлөөтэй.

Абу Даби нарны эрчим хүч, салхины эрчим хүч гэх мэт сэргээгдэх эрчим хүчээр удахгүй дэлхийд цойлох бололтой. Тэнд амьдрах үлгэр жишээ эко хотыг бий болгоно гэнэ. Абу Дабигийн онгоцны буудлаас холгүй Масдар гэх жижиг ханан хотыг британичуудтай нийлж барьж байгаа. Энэхүү төсөл хот нь 2025 он гэхэд 50 мянган оршин суугчтай эко хот болохоор төлөвлөгдсөн юм байна. 600 га газарт бий болох хот гэхээсээ илүү нарны эрчим хүчний судалгааны төв бололтой. Сэргээгдэх эрчим хүч хэрэглэснээр нүүрсхүчлийн хийг багасч, агаарын бохирдол буурна. Энэ хот нь эрчим хүчний эх үүсвэрийг сэргээгдэх эрчим хүчээр солих эхлэл нь гэнэ.

Энэ төсөл дээрээ америкчуудаас дэмжлэг авч байгаа юм байна. Саяхан Дэлхийн сэргээгдэх эрчим хүчний агентлаг гэдэг байгууллагыг эмиратчууд бий болгожээ. Байгууллагын зорилго нь дэлхийд сэргээгдэх эрчим хүч болон түүнийг хэрхэн зохистой хэрэглэхийг сурталчлах. Манайх сэргээгдэх эрчим хүч ашиглавал Улаанбаатар хотынхоо утааг багасгах боломжтой санагдсан. Улаанбаатар хотод 4,704 км.кв талбайд нэг сая гурван зуун мянган хүн амьдардаг бол Дубай хотод 4,114 км.кв талбайд хоёр сая зургаан зуун мянган хүн, жуулчин гийчинтэйгээ нийлээд гурван саяулаа нээх айхтар машин замын түгжрэлгүй амьдрах юм. Энэ хоёр хотоор явж байхад Монголд сонгуулийн үеэр яваа мэт санагдана. Учир нь хэд алхаад хааныхаа хөрөг зургийг байшин болгон дээрээ залсан байх юм.

Эцэст нь дүгнэхэд, Арабын Нэгдсэн Эмират Улс элсэн цөлд сарнай ургуулж, алтанд умбан амьдарч байгаа мэт харагдана. Энэ амьдралыг сүүлийн хорьхон жилд бий болгосон гэж амтай бүхэн нь шагшрах юм. Ялангуяа манай орон өөртэйгөө харьцуулаад…

Тэгэхдээ хаант засагтай ийм оронд монголчууд бид л лав амьдарч чадахгүй байх. Хэн яаж амьдрахыг эцсийн эцэст хаан л шийднэ шүү дээ. Хаант засаглалтай байх нь ардчилсан нийгэмд өсч хүмүүжсэн бидний үеийнхний ухаан санаанд багтамгүй санагдах юм билээ.

М.БАЯНБУЛАГ

Categories
мэдээ цаг-үе

Улаанбаатар төмөр замын Ерөнхий хорооны нарийн бичгийн дарга Ж.Батболдыг суллажээ

-ТҮҮНИЙГ ЗАМ ТЭЭВРИЙН ХӨГЖЛИЙН САЙД Д.ГАНБАТ ӨӨРИЙН БИЕЭР БАТЛАН ДААЛТАД АВСАН БАЙНА-

Улаанбаатар төмөр замын Ерөнхий хорооны нарийн бичгийн дарга Ж.Батболдыг мөнгө угаасан гэх хэргээр Авлигатай тэмцэх газар болон Эрүүгийн цагдаагийн газрын Эдийн засгийн гэмт хэрэгтэй тэмцэх албаныхан саяхан цагдан хорьсон билээ. Түүнийг Автотээврийн үндэсний төвд хэрэгжүүлсэн тендерээс их хэмжээний мөнгө бусадтай бүлэглэн угааж албан тушаалаа урвуулан ашигласан хэмээн эрүүгийн хэрэг үүсгэсэн аж. Ж.Батболдыг хорихоос өмнө Зам тээврийн сайд асан А.Гансүхийн зөвлөх асан Ж.Бямбацогтыг цагдан хорьсон байдаг. Түүнийг 2012 онд тус яамнаас зарласан тендерийг будлиантуулж замаас нь 5.9 тэрбум төгрөгийг завшсан хэмээн буруутгаж буй талаар манай сонин өмнө нь мэдээлж байсан билээ. Харин Ж.Бямбацогтын хэрэгт холбогдуулан Ж.Батболдыг ийнхүү цагдан хорьжээ. Ж.Батболд нь Улаанбаатар төмөр замын Ерөнхий хорооны нарийн бичгийн даргын албыг хашихаас гадна Зам тээврийн хөгжлийн яамны Далайн тээврийн бодлогын хэрэгжилтийн газрын даргын албан тушаалыг давхар хашдаг юм байна. Уг нь энэ хоёр албан тушаал нь орон тооных бөгөөд нэг хүн давхар хаших боломжгүй аж. Гэвч Төрийн албаны хуулийг зөрчин тэрбээр ийн хоёр албан тушаал зэрэг хашдаг болох нь тогтоогдсон байна .

Ж.БАТБОЛД ГЭЖ ХЭН БЭ?

Ж.Батболд нь Зам тээврийн яаманд 2015 оноос ажиллаж эхэлжээ. Тэрээр 1982 онд Улаанбаатар хотод төрж, ерөнхий боловсролын сургуулиа орос III сургуульд сурч төгсчээ. Дараа нь МУИС-ийн Олон улсын харилцааны сургуулийг олон улсын эдийн засагч мэргэжлээр төгссөн аж. Ажлын гараагаа “Магнай трейд” компанид менежерээр эхлүүлж, “Вектор интернэшнл” компанид менежер гэх албыг хашиж байгаад Зам тэээврийн яаманд Далайн тээврийн бодлогын хэрэгжилтийн газрын мэргэжилтэн хийж байсан байна. Үүний дараа Гадаад хэргийн яаманд Эдийн засгийн хамтын ажиллагааны мэргэжилтнээр ажиллаж байгаад Зам тээврийн хөгжлийн яаманд газрын даргаар эргэн ирсэн аж.

ЯМАР ХЭРЭГТ ТҮҮНИЙГ БУРУУТГАВ

Хэдэн жилийн өмнө буюу 2014 онд Автотээврийн үндэсний төвд нэгэн тендер хэрэгжсэн байдаг аж. Тэр тендерийн хүрээнд хот хоорондын зорчигч тээвэрлэлтийн хөдөлгөөнийг улсын хэмжээнд хянах “Тээврийн удирдлага, хяналтын төв” гэдэг газрыг байгуулан ажилласан аж. Энэ төв нь тээврийн хэрэгслийн хяналтын нэгдсэн системийг ашиглан улс, хот хоорондын зорчигч тээвэрлэлт гүйцэтгэж байгаа тээврийн хэрэгсэл болох автобусуудын байршлыг тогтоон хяналт тавьж, ямар нэг эсрдэл гарвал мэдээллийг шуурхай дамжуулах үүрэгтэй хийгдэж байсан. Өөрөөр хэлбэл, GSM/GPRS систем болон сансрын холбоогоор /Inmarsat/ дамжуулж мэдээллийг төв серверт хадгалах ба компьютер болон ухаалаг гар утас ашиглан тээврийн хэрэгслүүдээ хянах боломжтой, дэвшилтэт технологид суурилсан цогц систем. Энэ дагуу Хот хоорондын зорчигч тээврийн том оврын 306 автобус, дунд оврын 194 автобус, бага оврын 260 автобус гээд нийтдээ 760 тээврийн хэрэгсэлд нэг бүр нь гурван сая гаруй төгрөгийн үнэтэй байршил тогтоох төхөөрөмжийг суурилуулсан байна. Гэрээт аж ахуйн нэгж байгууллагуудад нэмэлт дарамт үүсгэхгүй байх үүднээс сансрын холбооны болон GSM/GPRS системийн мэдээлэл дамжуулалтын зардлыг Автотээврийн үндэсний төв хариуцахаар болсон. Нэг тээврийн хэрэгслийн мэдээлэл дамжуулалтын нэг сарын зардал 50 мянга орчим төгрөг болсон гэдэг. Энэ асуудалд Ж.Батболдыг буруутгах хэд хэдэн үндэслэл гарсан байна. Ингээд шалгах явцад мөнгө угаасан гэх баримт ч олдсон гэх мэдээллийг эх сурвалж өгч байгаа юм. Энэ ажил нь “Тээврийн хэрэгслийн бүртгэл хяналтын нэгдсэн системийн тоног төхөөрөмжийн угсралт суурилуулалт, туршилт тохируулгын ажил”-ын тендертэй холбоотой юм байна.

5.9 ТЭРБУМ ТӨГРӨГИЙГ ЗАВШСАН УУ

Энэ тендерийг хэрэгжүүлэхдээ замаас нь 5.9 тэрбум төгрөгийг завшсан, мөнгө угаасан гэж үзэж байгаа аж. Энэхүү тендер нь 2012 оны долдугаар сарын 17-ны өдрөөс 2012 оны наймдугаар сарын 16-ныг хүртэл “Тээврийн хэрэгслийн бүртгэл хяналтын нэгдсэн системийн тоног төхөөрөмжийн угсралт суурилуулалт, туршилт тохируулгын ажил”-д зарцуулагдах мөнгө байсан аж. Тендерт гадаад, дотоодын олон компани оролцож, эдгээрээс Болгар улсын “Контракс Еад” компани шалгаран хамтран ажиллахаар болсон аж. Гэтэл тус компани 2012 оны арванхоёрдугаар сарын 1-ний өдөр45/А дугаартай ажлын гэрээг байгуулж, үүндээ 2014 оны хоёрдугаар сарын нэгэн гэхэд дээрх ажлыг хийж дуусгахаар тусгасан аж. Энэ үеэс эхэлж тендерт ирүүлсэн үнийн санал болон бас бус зүйл дээр албан тушаалтнууд ажилласнаас болж 2014 оны тавдугаар сард 45/А дугаартай дээрх гэрээг цуцалжээ. Гэрээг цуцлах болсон шалтгаан нь тухайн үед тодорхойгүй байсан бөгөөд энэ асуудал салбарын хувьд багагүй дуулиан дэгдээгээд байсан юм. Харин энэ бүх гэрээний санхүүгийн асуудлыг сайтар нягтлаад үзвэл ихээхэн хэмжээний мөнгөн дүн гарчээ. Ийм учраас гэрээ болон мөнгөний учрыг олох ёстой болсон аж. Шалгалтын явцад тендерийн ажлаас хэн хэдий хэрийн ашиг олов гэдгийг тогтоосон гэх мэдээлэл байна. Ингэхдээ дээрх эрхмүүд нэг компанийн данс руу мөнгө шилжүүлж, бэлнээр их хэмжээний мөнгийг авсан байдаг гэнэ. Мөн тендер нэрээр хөтөлбөр хэрэгжүүлж харагдавч үнэндээ улсын хөрөнгийг зувчуулсан гэх баримт ч гарч ирсэн байна. Энэ мэтээр тэдний холбогдож буй асуудлууд одоохондоо ийм хүрээнд яваа гэх мэдээллийг эх сурвалж өглөө.

Харин Ж.Батболдыг цагдан хориод удаагүй байхад буюу арав гаруй хоногийн дараа Зам тээврийн хөгжлийн сайд Д.Ганбат хуулийнхан руу залгаж “Газрын даргыг хорьчихсон. Ажил явахгүй байна. Би батлан даая” хэмээжээ. Ингээд цагдан хорих эсэхийг нь шүүхээр шийдэх хурал болж, Д.Ганбат сайд өөрийн биеэр очиж чамгүй мөнгөн барьцаа төлж байж түүнийг суллаж авсан гэнэ. Зам тээврийн хөгжлийн яамныхан “Сайд өөрийн биеэр батлан даалтад авч байгаа юм. Өөрийнх нь гарын хүн гэдэг нь тодорхой байгаа биз дээ. Батлан даах хүн олдоогүй юм байх даа” гэцгээж байв.

Categories
мэдээ цаг-үе

Рэп бүжгийн хамтлагтай 10 “А” ангийнхан

Сэлэнгэ аймгийн Мандал сумын II арван жилийн дунд сургууль буюу хуучны нэрээр Орос сургуулийн үүдээр орсон хэн боловч сургууль уу, амьд байгалийн музей юу хэмээн эргэлзэн тээнэгэлздэг гэнэ. Монгол орны үзэсгэлэнт газруудын уран зураг, төрөл бүрийн амьтдын чихмэл, өнгө алаглах цэцэгс гээд л Монголд байтугай дэлхийд ч ховорт тооцогдохоор гайхалтай орчинг энэхүү сургууль цогцлоосон байна. Цэцэрлэгт хүрээлэн, уран зургийн галерей, амьд байгалийн музейд орсон мэт сэтгэгдэл төрүүлэм энэ гоёхон сургуулийг 2000 онд төгссөн 10 “А” анги энэ удаагийн “Нэг ангийнхан” буланд зочилж байна. Тэдэнд “А” үсэг заасан ачтан бол Төмөрмөнх багш гэнэ. Харин ахлах ангид хими, биологийн Оюунсүрэн багш дааж авчээ. 10 “А” анги 24 сурагчтай. Тэд өнгөрсөн зун төрөлх сургуулийнхаа 90 жилийн ойгоор халуун ам бүлээрээ уулзаж хамгийн гэгээлэг, сэтгэлд хоногшсон он жилүүдээ дурсацгаасан байна.

10 “А” анги урлаг спорт, сурлага, хөдөлмөрөөрөө сургуулийнхаа манлайлагч ангийн нэг нь байжээ. Ангиасаа Амгаланбаатар, Тулгаа, Батболд нар хичээлдээ тун сайн. Хичээл номдоо сайнаас гадна уянгалаг сайхан дуулдаг нь Солонго байсан гэнэ. Мөн Болоржаргал, Батцолмон, Отгонтуяа, Сарантуяа, Батцэцэг гээд ангийн адтай хэдэн охин реп бүжгийн хамтлаг байгуулсан байна. Тэд “Хар сарнай” хамтлагийн дуунууд дээр бүжиглэдэг. Тэр дундаа “Бүтээнэ, сөнөөнө” дуун дээр бүжиглэх илүү дуртай байжээ. Охидын рэп бүжгийн хамтлаг урлаг соёлын арга хэмжээнд чамгүй амжилттай оролцдог байсан аж. Харин Х.Батцэцэг, Энхболд нар хамгийн спортлог нь байжээ. Сургуулийн хүүхдүүд тавдугаар ангиа төгсөөд Баруунхараагийн хөдөлмөр, амралтын зусланд жилд хоёр удаа явдаг байжээ. Сургуулиа төгсөөд зуны ажилд явна. Сургууль орж эхлэнгүүт намрын ажилд явна. Зуны ажлаар гол төлөв төмс, нарийн ногооны зэрлэг зулгаана, хөвгүүд нарийн ногооны услалтын системийг зөөвөрлөн усалгаа хийдэг байж. Хоёр цагт нэг удаа усалгаа хийнэ. Тиймээс хөвгүүд усалгаан дээр томилогдох тун дуртай. Мөн ангиараа эгнэж зогсож байгаад багшийн дохиогоор ногоон талбайн зэрлэгийг зулгааж эхэлнэ. Заримдаа гарын хуруу хагарч, саарьтах нь энүүхэнд ч, энэ бол тэр үеийн хүүхдүүдийн хувьд тийм ч том асуудал биш байжээ.

Зунжин арчилсан ногоогоо намрын ажлаар явж хураана, зооринд хийж хадгална. Ажлын зав чөлөөгөөр тэмцээн уралдаан, бүжиг наадам ч хийхээ мартахгүй. Мөн гэдсээ дүүртэл лууван иддэг байж. Тэр олон луувангаас аль нь амттай байдаг гэдгийг ч бүр нүдэлчихдэг байна.

Болоржаргал “Бид намрын ажилд явж байхдаа нэг удаа цайндаа хурдан орох гээд цагаа ухраачихаад “Багш аа, цайны цаг болчихжээ. Хэдүүлээ цайндаа орох уу” гэж билээ. Тэр үед багш ч анзаарсангүй. Цайны цаг ямар хурдан болчихов оо” гээд л өнгөрсөн дөө. Бид ч намрын ажил дээр хэд, хэд хөглөсөн дөө. Тухайн үед намрын ажлаас зугтаасан хүн их л нэрд гараад хүмүүс шуугиад байсан юм. Тэгэхээр нь хүүхдүүд юм хойно, зугтаачих юм бол хүн болгон ярих юм байна гэж бодоод ангиараа зугтаачихаж билээ.

Багш минь “Хүүе, хаая. Та нарыг дээ” болоод л үлдсэн. Бид хэд ч бүгд вагонд суучихсан. Гэтэл бүгд билет байхгүй болохоор хөөгдөж буусан даа. Эргээд Загдалын сангийн аж ахуйн хөдөлмөр, амралтын зусландаа ирээд багшдаа ёстой бут авахуулсан шүү. Бид бүгдийн сэтгэлд тодоос тод үлджээ.

Ангийнхан ажил дээр байх үедээ нэг нэгэндээ туслан ажлаа амжуулдаг байжээ. Энэ бүхний үр дүнд 10 “А” ангийнхан хамтач, нөхөрсөг, хөдөлмөрч хүмүүс болж төлөвшсөн байна. Эдний ангийнхан Баруунхараагийн нутгаар явж өнгөрөх бүрт сурагч насны хөдөлмөр амралтын зуслан санаанд орж, дурсамж сэдэрдэг шүү” хэмээн ярьсан юм. 90 жилийн түүхтэй энэхүү эрдмийн өргөөнд химийн Оюунсүрэн, физикийн Лхагвасүрэн, монгол хэлний Цэрэнханд, газарзүйн Отгонсүрэн, уран зохиолын Долгор, зурагзүйн Баяраа, биологийн Тэгшжаргал гээд олон чадварлаг багш нар ажиллаж байжээ. Химийн гэх тодотголоор нь Оюунсүрэн багшийг Мандал сумынхан андахгүй мэддэг гэнэ. Тэрээр маш зарчимч хүн учир гэрийн даалгавар хийж ирээгүй үед жавтий хүртээх нь энүүхэнд аж. Багшдаа аашлуулахгүйн тулд даалгавраа хийх гэж мэрийдэг байсан шүү гэж тэд хуучилсан юм. Ангийн хөвгүүн Д.Батболд “Манай сургуулийн үе үеийн төгсөгчид эх орныхоо өнцөг булан бүрт, сургуулийнхаа нэр төрийг өндөрт өргөн сайн сайхан яваа нь эзэмшсэн мэргэжилдээ эзэн болсон эрдэмтэн олон багш нарын минь ач буян билээ. Би аялал жуулчлалын мэргэжилтэй. Энэ чиглэлээрээ их сургуульд багшилдаг юм. Сургуулийн минь тэр л гоё орчин намайг эх орноо таньж мэдэх, энэ мэргэжлийг эргэлт буцалтгүй сонгоход маш ихээр нөлөөлсөн. Тухайн цаг үед манай сургуулийг төгссөн олон олон хүүхэд залууст эх орноо хайрлах, байгаль, экологийн талаарх ойлголтыг суулгаж өгсөн эрдмийн өргөө гэж боддог” гэсэн юм.

Тэднийг бага ангид байх үед нь “Халтар царайт” кино гарч эхэлжээ. Кино эхлэнгүүт хашаа хороо, гудамжаар ямар ч хүн үзэгдэхгүй. Харин энэ үе тэдний жимс түүж идэх нууц ажиллагаа явуулдаг оргил цаг нь байсан гэнэ. Хөвгүүдээрээ нийлж айл бүрийн хашаанд ургадаг мойл, чацаргана гээд жимс түүж иддэг байж гэнэ. Мандал сумын II арван жилийн сургууль сумын сургууль гэж хэлэхээргүй орчин үелэг байжээ. Тухайлбал, завсарлагаа бүрээр “Aqua”, “Spice girls”, “Backstreet boys”, “Cher” гэх мэт тухайн цаг үеийн хамгийн алдартай хамтлаг, дуучдын хит дуунууд эгшиглэн нам гүм байсан байгалийн музей агшин зуур л урлагийн гайхалтай ертөнцөөр амьсгалан, дуу хөгжмөөр хөглөгддөг байж. Завсарлагаанаар жижүүр ангийн хүүхдүүд өгсөх уруудах шат, сургуулийн хоёр давхрын төв талбай, үүд зэрэг газруудад эгнэн зогсож, дотоод журмын хэрэгжилтэд хяналт тавьдаг байсан гэнэ. Ийм гайхалтай орчин, дэг журмыг тогтоосон нь тус сургуулийн олон арван тэргүүн туршлагын нэг нь байжээ.

Түүнчлэн 10 “А” ангийн хөвгүүд сургуульдаа хамгийн стиллэгт ордог байсан гэнэ. Ахлах ангид орох үед нь үсээ тослон гялалзуулж шараар будуулах зэрэг хэв маягт орж эхэлжээ. Үсний тос төдийлөн олдохгүй тул ургамлын тос түрхчихдэг байсан гэнэ. Харин үсээ шараар будуулаагүй хүн энэ ангид тун ховор гэсэн. Охидын хувьд зузаан ултай гутал, цайралттай жийнс хамгийн гоё хувцас байжээ.

Эдний ангиас хайрын захиаг голдуу Азжаргал, Батцолмон гэх хөөрхөн охид авна. Харин Энхболд хүү нэг ижил захиаг ангийнхаа бүх охинд өгч байгаад баригдсан хөгтэй явдал ч болж байжээ. Тэднийг төгсөх үед “Салхи” хамтлагийн “Ухаарч амжаагүй бүхний чинь төлөө” дуу ид хит болж, олон ч анхны хайрын дурсамжийг хадгалж үлджээ.

10 “А” ангийнханд Оюунсүрэн багшдаа одоог хүртэл хэлээгүй нэг нууц байдаг гэнэ. Тэд зусланд байх үедээ цайндаа орохоор явж байж л дээ. Гэтэл багшийнх нь дээл ногооны талбайн хажууханд байж гэнэ. Тухайн үедээ дүрсгүй хэдэн нөхөд нь багшийнхаа дээлийг нуучихжээ. Багш нь ч дээл алга болчихлоо гэж сүртэй юм болсонгүй. Бүгд мартацгаачихсан байна. Гэтэл дараа жил нь зуслан дээр иртэл багшийнх нь дээл яг орхисон газар нь байжээ. Багшдаа хэлэх гэхээр зүрх нь хүрэхгүй, буруу зүйл хийсэндээ гэмшээд одоог хүртэл хэлээгүй гэсэн. Дэггүйтэж багшийнхаа дээлийг гээгдүүлсэн болохоор удахгүй багшдаа маш гоё дээл авч өгөхөөр ярьж байгаа гэсэн.

Энэ ангийнхан аялал жуулчлал, зураач, эмч, багш гээд олон төрлийн мэргэжил эзэмшиж гол төлөв Улаанбаатар, Дархан, Сэлэнгэ аймагт суурьшжээ. Гэсэн хэдий ч жилдээ нэгээс хоёр удаа заавал уулздаг байна.

О.Ариунцэцэг

Categories
мэдээ цаг-үе

Монголын эзэнт гүрэн

Их Монгол Улс буюу Монголын эзэнт гүрэн бол хамгийн том газар нутагтай эзэнт гүрэн юм. Судлаачид Их Монгол Улсын газар нутгийг 26 сая эсвэл 33 сая километр дөрвөлжин хэмээн олон янзаар тайлбарлаж ирсэн байдаг. Британийн түүхчид Монголын эзэнт гүрэн нь түүхэн дэх хоёр дахь том эзэнт гүрэн хэмээн тэмдэглэсэн нь дагаж орсон буюу хараат түшмэг улс орон, овог аймгуудын газар нутгийг оруулаагүй тооцсонтой холбоотой. Их Монгол Улсын гал голомтыг 1206 онд Чингис хаан асаан бадраасан бөгөөд энэ их гүрэн мандан бадралынхаа алтан үедээ Номхон далайн эргээс Хар тэнгис, Дунай мөрний сав газар, өмнөд этгээдэд Зүүн өмнөд Азийн болон далайн арлуудын хараат буюу вассал орнууд, хойд зүгт Умард мөсөн далай Енисей мөрний сав газрын дагаж орсон овог, аймгуудын эзэмшлийг хамруулсан өргөн уудам газар нутгийг эзэмшиж байлаа. Их Монгол Улсын эзэнт гүрэн 1279 онд дэлхийн хуурай газрын 16 хувийг эзэлж, тухайн үеийн дэлхийн дөрвөн хүн тутмын нэг нь эзэнт гүрний газар нутагт амьдарч байжээ. Монголын эзэнт гүрэн одоогийн ОХУ, БНСУ болон БНАСАУ, БНХАУ, Энэтхэг, Турк, Казахстан, Беларусь, Сири, Афганистан, Пакистан зэрэг 28 улсын газар нутгийг хамран оршиж байв.

Ази, Европын олон арван хот улсыг мөхөөж хэдэн арван сая хүнийг эрхшээлдээ оруулсан авч монголчуудын цэргийн тоо 250 мянгаас хэтэрч байгаагүй аж. Чингис хаан төв Ази, одоогийн Монгол Улсын газар нутаг дахь тархай бутархай олон арван нүүдэлчин аймгуудыг нэгтгэж, Зүрчидийн Алтан улс, Тангуд улс, Хорезмын эзэнт улсыг байлдан дагуулснаар их гүрний алтан үеийг эхлүүлсэн юм. Арванхоёрдугаар зууны сүүлийн хагаст Монголын газар нутагт Хамаг Монгол, Хэрэйд, Мэргид, Татар, Найман зэрэг олон арван нүүдэлчин аймгууд мөнхийн дайн дажинтай байлаа. Хамаг Монгол аймгийн ханаар 1189 онд өргөмжлөгдсөн Тэмүжин улс төр болон цэрэг зэвсгийн хүчээр зэргэлдээх аймгуудыг өөртөө нэгтгэж, 1206 онд Их Монгол Улсыг байгуулсан байна. Тухайн үеийн монголчуудьн өмнө тархай бутархай оршиж, өөр хоорондоо ямагт хагаралдан бусдын зууш болох уу, эсвэл нэгэн дээвэр дор нэгдэн нягтарч, өөдлөн дээшлэх үү гэсэн салаа зам таарчээ. Тэрхүү түүхэн сонголтыг зөв ухамсарласан Хамаг Монголын хан Тэмүжин эсгий туургатанг нэгтгэх тэмцэлд эргэлт буцалтгүй оров. Хамаг Монголын дотор Тэмүжинээс гадна Жамухын толгойлсон хүчний нөлөө хүчирхэгжиж, тэд тус тусдаа хүчээ зузаатгахыг эрмэлзэж байв. Цагийн байдал Тэмүжиний талд аятай эргэж, Жамухын харьяат нараас түүний талд дагаар орсоор байлаа. Хэрэйдийн ханлигийн дотор эвдрэл гарч, Тоорил ханыг хүчин мөхөсдөхөд Тэмүжин ивээлдээ авч тэнхрүүлэв. Тэмүжин Тоорил хантай 1196 онд Алтан улсад туслан, Татарт хамтын хүчээр цохилт өгчээ. Тэд 1199 онд Найманы ханлигтай нүүр тулж байлдсанаас гадна монгол угсааны олон аймгийг байлдан дагуулав. Гэвч Тайчууд, Хонгирад, Ихирэс, Горлос, Татар, Ойрад, Найман аймгийн ноёд эвсэж, Чингисийн эсрэг шинэ холбоо байгуулжээ. Тэд 1201 онд Эргүнэ мөрний хөвөөн дээр хуралдаж, Жамуха сэцэнг Гүр хаан хэмээн өргөмжилжээ. Эл бүлэглэлийн эсрэг Тэмүжин Тоорил хантай хүч хавсран тулалдаж бут цохив. Жамухын эвслийг бут цохисны дараа Тэмүжин 1202 онд Татарыг эрхэндээ бүрмөсөн оруулав.

Жамуха Хамаг Монголын нөлөө бухий язгууртан Алтан, Хучир нарыг талдаа урвуулж, Тоорил ханы хүү Нялх Сэнгүмээр эцгийг нь ятгуулж, 1203 онд Тэмүжиний эсрэг дахин эвсэл байгуулжээ. Үүнийг тагнаж мэдсэн Тэмүжин 1203 оны намар Туул голын хавь газар Тоорил ханыг гэнэдүүлэн цохисон байдаг. Ингэж Тэмүжин хамгийн хүчирхэг Хэрэйдийн ханлигийг мөхөөснөөр монгол туургатны дотор эрх сүр, нэр хүнд нь эрс өсөв. Өмнөх тулалдаануудад ялагдаж, Найманы Таян ханыг тойрон бүгсэн ханлиг, аймгуудын хэсэг бусаг хүчийг 1204 онд бут цохисноор монгол туургатны үндсэн гол хэсгийг өөртөө нэгтгэжээ. Тэмүжин ийнхүү “хагацсан улсаа хамтатгаж, бутарсан улсаа бүртгэх” их үйл хэргийг бүтээсэн билээ.

Гуравдугаар жарны улаан бар жил буюу 1206 он бол Монгол Улсын түүхэнд хэзээ ч мартагдашгүй алтан үсгээр бичигдэх учиртай цаг хугацаа юм. 1206 оны намар Онон голын эхнээ Тэмүжиний oрд өргөөнд Монголын язгууртан дээдсийн их чуулган Их Хуралдай хуралджээ. Их Хуралдайн өмнө тавигдсан үндсэн зорилт нь Их Монгол Улсаа байгуулсныг даяар олноо зарлан тунхаглах, нүүдэлчин монголчуудын төрийн жолоог бат түвшин атгах их хааныг сонгон өргөмжлөх явдал байв. Чингис хааны үндэслэн байгуулсан Их Монгол Улс эхэн үедээ умардаас өмнө этгээдэд Байгаль нуураас Түмэн газрын Цагаан хэрэм хүртэл, зүүнээс өрнө зүгт Хянганы нуруунаас Алтайн чинад хүртэл өргөн уудам нутгийг эзлэн оршиж байв. 1207 онд Зүчи баруун гарын их цэргийг удирдан, буриад, хабханас, тува зэрэг Ойн иргэдийг Их Монгол Улсад нэгтгэлээ. Нүүдэлчин аймгуудын нэгдлийг хөрш зэргэлдээ орнууд таатай хүлээж авсангүй. Улмаар улс төр, эдийн засгийн зөрчил нь дайн үүсэх шалтгаан болж Чингис хаан Зүрчидийн Алтан улс, Тангуд болон Хорезм эзэнт улсад цэрэглэн халдаж цаашлан Азербайжан, Гүржийг эзэлж Калка голын хөвөөн дээр Оросын вангуудын цэргийг бут цохижээ. Тангудын эсрэг хийсэн сүүлчийн аян дайндаа Чингис хаан таалал төгссөн бөгөөд өөрийн байгуулсан эзэнт улсаа хөвгүүддээ гэрээслэн үлдээв.

Чингис хааны зарлигаар түүний өргөмөл дүү, шадар түшмэл Шихихутаг “Их засаг” хэмээх хуулийг батлан гаргасан байна. “Их засаг” хуулийн өмнө энгийн ард иргэд болон ихэс дээдэс тэгш эрхтэй байж, адил ял зэмлэл хүлээдэг байснаараа онцлог юм. Хуулийн ял шийтгэл нь маш хатуу байсан бөгөөд жишээлбэл, дайнд явах үед урд яваа хүнийхээ санамсаргүй унагаасан зүйлийг арын хүн авч өгөхгүй бол цаазаар авах ял оноодог байв. Энэхүү хатуу засаглал нь Монголын эзэнт гүрнийг амар амгалан, тайван тогтуун улс болгох үндэс суурь нь болж байв. Европын жуулчид их гүрний ард иргэдийн өндөр зохион байгуулалттай, дэглэм журамтай байдлыг гайхан шагшсан нь олонтаа. Их гүрний үед сайд түшмэд, цэргийн жанжид өөрсдийн авьяас чадварт тулгуурлан сонгогддог байсан бөгөөд шашин шүтэх эрхийг чөлөөлж өгсөн байна. Ард иргэдийн доторх хулгай дээрмийг чандлан хорьж зөрчигсдийг хатуу шийтгэдэг байв. Биедээ үнэт эрдэнэ тээж яваа ганц бие эмэгтэй хүн гүрний нэг өнцгөөс нөгөө өнцөг хүртэл ямар ч саадгүй аялах боломжтой байсан гэдэг. Их Монгол Улсын үед аян дайнд мордох, их хааныг сонгох зэрэг хамгийн чухал асуудлуудыг Их хуралдайгаар шийддэг байж. Их хуралдайд алтан ургийнхан, цэргийн жанжид, төрийн сайдууд зэрэг хамгийн нөлөө бүхий хүмүүс уулзан цуглаж хамтаар улсын чухал асуудлуудыг шийддэг байжээ.

Их гүрний өнцөг булан бүрт өртөө улаа байгуулж худалдаачид, элчүүдийн аюулгүй байдлыг хангасан байна. Эдгээр өртөө улаагаар дамжуулан худалдаачид Хятадаас Ойрхи дорнод, Европ хүртэл саадгүй аялдаг байв. Чингис хаан төрийн тамгыг хэрэглэх болж, монголчуудыг бичиг үсэгтэй болгох ажлыг эхлүүлж, мөн хуульчид, багш нар, уран бүтээлчдийг татвараас чөлөөлсөн байна. “Их засаг” хууль бол дэлхийн соёл иргэншлийн түүхнээ бүхэл бүтэн нийгэмд улс төрийн байгуулал бүрэлдэн төлөвшихөд томоохон үүрэг гүйцэтгэсэн эртний Вавилоны Хаммурапийн хууль, Энэтхэгийн Манугийн хууль зэрэг алдартай хуулиудтай эн зэрэгцэхүйц ач холбогдолтой цааз-эрхэмжийн нэн чухал баримт бичиг юм. Монголчууд даян дэлхийг засагласан хоёр зуун жилийн хугацааг эдүгээ “Pax Mongolia” буюу Монголын амар амгалант үе гэж нэрлэдэг юм.

Чингис хааныг тэнгэрт хальсны дараа хөвгүүд нь хоорондоо хаан ширээний төлөө тэмцэлдэв. Чингисийн гэрээслэл ёсоор Өгэдэй ширээнд суух ёстой байсан боловч Чингисийн дүү Тэмүгэ Отчигин зэрэг нөлөө бүхий зарим ноёд Чингисийн отгон хүү Тулуйг хаан болгох санаатай байжээ. Хэрлэнгийн Хөдөө аралд эхэлсэн Их хуралдай дөчөөд хоног үргэлжлэн байж 1229 оны билгийн наймдугаар сард Өгөдэйг хаанд өргөмжилснөөр сая өндөрлөжээ. Өгэдэйг хаан ширээнд суухад авга ах Отчигин, Бэлгүдэй, ах Цагадай, дүү Тулуй, ах Зүчийн хүү Бат зэрэг дээдэс онцгой хүндэтгэл үзүүлж мөргөн ёсолж, Цагадай Тулуй нар хоёр талаас түшиж, авга Отчигин араас нь тулж ширээнээ суулгажээ. Үүнээс хойш их хааныг өргөмжлөх бүрт бүгд мөргөх ёсон тогтсон гэдэг. 1241 онд Өгэдэйг нас бармагц хаан ширээний төлөө тэмцэл дахин өрнөжээ. Өгэдэй ач хөвгүүн Ширмүн буюу Тулуйн хүү Мөнх хоёрын нэгийг ширээ залгамжлуулахаар гэрээсэлсэн гэдэг. Гэтэл Өгэдэйн хатан Мэргэд овгийн Дөргэнэ (Туракина) төрийн эрхийг түр барьж байгаа байдлаа ашиглаж өөрийн хүү Гүюгийг хаан болгох гэж хүчлэн оролджээ. Үүнээс болж шинэ хааныг сонгон өргөмжлөх явдал хойшлогдож дөрвөн жил шахам Дөргэнэ хатан төр барьжээ. 1245 оны намар Хар хүрмийн ойролцоохь Далан даваа гэдэг газар Их хуралдай болж Гүюгийг хаанд өргөмжилсөн байна. Тэр хуралдай их өргөн дэлгэр болж Их Монгол Улсын өнцөг булан бүрээс алтан ургийн ноёд язгууртнаас гадна хятад, Орос, Солонгос, Франц, Гүрж, Герман, Араб зэрэг орнуудын төлөөлөгчид болон Ромын пап ламын элч Плано Карпини зэрэг гадаадын олон улсын элчис ирсэн аж.

Гүюг хаан ширээнд удсангүй. 1248 оны хавар дайнд явж байгаад Самарканд хотын орчимд тэнгэрт хальжээ. Энэ завшааныг Гүюгийн хатан Хаймиш ашиглан 1249-1251 онд төр барьжээ. Чингис хааны хойчис Зүчи-Тулуйнхан, Цагадай-Өгэдэйнхэн гэсэн сөргөлдөгч хоёр талд хуваагджээ. Алтан ордны улсын Бат хаан насны ахмад, нэр хүндтэйн учир 1249 онд өөрийн байгаа газарт Их хуралдай хийх санал дэвшүүлж, олон ноёнд урилга заллага явуулжээ. Тэнд цөөхөн хүн очсон боловч Батыг өөрийг нь их хаан болгох саналыг дэвшүүлжээ. Бат түүнийг хүлээж авсангүй, харин Тулуйн хүү Мөнхийг хаанд өргөмжлүүлэхээр тогтоожээ. Мөнх хаан болоод өөрийг нь эсэргүүцсэн Өгэдэйн ач хүү Ширмүүн, Гүегийн хүү Хочин, Нуху, Чингис хааны дотнын нөхөр Зэлмийн хүү Есүндэй, Занги, Цанай, Элжигдэй, түр хугацаагаар төр барьж байсан Хаймиш хатан, Гүюгийн их түшмэл Чингай зэрэг дал гаруй хүнийг хатуу чанга шийтгэн ихэнхийг нь цааш нь харуулж Дөргэнэ хатан зэргийг цөлсөн байна. Мөнх хааны энэ эрс тэс ширүүн арга хэмжээ нь Мөнх хааны байр суурийг бэхжүүлж, улс төрийн хямрал тэмцлийг намжаасан байна.

Монголын эзэнт гүрэн байгуулагдсан эхэн үедээ нэгдэл нягтрал сайтай, нэгэн удирдлагатай байсан боловч аажмаар бие даасан улсууд болон задарсан байна. Чингис хаан дөрвөн хүүдээ эзэмшил нутаг олгохдоо ахмад хүү Зүчидээ Арал тэнгисээс баруун тийш Каспийн тэнгисийн умар зүгийн нутгийг, хоёрдугаар хүү Цагаадайдаа Алтайн нуруунаас баруун тийш Амур мөрөн хүртэл буюу Тэнгэр уулын ар өвөр, хуучин баруун Ляо улсыг, гуравдугаар хүү Өгэдэйдөө Найман аймгийн эзэмшил буюу Эльба мөрний дээд урсгалаас баруун тийш Балхаш нуурын зүүн талын нутгийг тус тус хуваажээ. Өгэдэй хааныг нас барснаас хойш тав, зургаан жил хаан ширээ эзгүйрч, Монголын төрийн ордны эрх суларсан билээ. Хэдий тийм боловч Мөнх хаан нас барсан 1259 оныг хүртэл Монгол орон эзэнт гүрний төв нь болсоор байсан юм. 1261 онд хаан ширээнд суусан Хубилай хаан Хятадад Монголын Юань гүрнийг байгуулжээ. Тулуйн хүү Хүлэгү 1253-1254 оныг хүртэл баруун тийш аян дайн хийж, Иран, Багдад, Араб зэрэг орныг байлдан дагуулж, Ил хант улсыг байгуулав. Зүчийн хүү Бат 1235-1241 оныг хүртэл баруун зүг Кипчак нутгийг эзлэн улмаар Орост довтолж, Польш, Мажар (Унгар)-ыг эзлэн Алтан ордны улсыг байгуулжээ. Дундад Азид Цагаадайн хаант улс бий болов. Мөнх хаан эзэнт гүрний эрхийг барьж байх үедээ өмнө зүгийн улс орны цэрэг, засаг захиргааны эрхийг голчлон барьж, харин Бат ханд өрнө зүгийн улс орны цэрэг, засаг захиргааны эрхийг ихэвчлэн мэдүүлж байсан баримт “Судрын чуулган”-д байдаг. Ер нь Мөнх хааныг нас барснаар Алтан ордон, Цагаадайн хант улс, Хубилай хааны таалал төгссөнөөр Ил хант улс тус тус биеэ даасан улс болон задарсан билээ.

ИХ МОНГОЛ УЛСЫН ЭХЭН ҮЕИЙН ХААД

Тэмүжин. Өргөмжилсөн нэр нь Чингис. Эцэг нь Есүхэй, эх нь олхонуд аймгийн Өүлэнүжин. Усан морин жилийн зуны тэргүүн сарын 15-нд буюу 1162 онд одоогийн Хэнтий аймгийн Дадал сумын нутаг Онон мөрний Дэлүүн болдог гэдэг газар төрсөн. Шороон тахиа жил буюу 1189 онд Хамаг Монгол Улсын хан болж, Чингис гэдэг цол авсан. Улаан барс жил 1206 оны намар Их Монгол Улсын хаанд өргөмжлөгдсөн. Хаан ширээнд 22 жил сууж гал гахай жил буюу 1227 оны зун 66 насандаа тэнгэрт хальжээ.

Өгэдэй. Өргөмжилсөн нэр нь Далай хаан. Эцэг нь Чингис, эх нь хонгирад аймгийн Бөртэ Үжин. 1186 онд төрсөн. 1229 оны есдүгээр сараас 1241 оны арванхоёрдугаар сард хаан ширээнээ 13 жил суужээ. Их хатан нь найман аймгийн Дөргэнэ. Далай хаан 1241 оны өвөл тэнгэрт хальсан.

Гүюг. 1206 онд төрсөн. Эцэг нь Өгэдэй хаан. Эх нь Дөргэнэ хатан. 1245 оны хавар их хаанд өргөмжлөгдсөн, 1248 оны хавар тэнгэрт хальсан. Гурван жил хаан ширээнд суусан.

Мөнх. Эцэг нь Чингис хааны отгон хүү Тулуй, эх нь Хэрэйдийн Сорхагтани хатан. 1208 онд төрсөн. 1251 оны зун их хаанаар сонгогдсон, хаан ширээнд есөн жил суусан, 1259 оны зун Сычуаньд цэргийн хуаранд өвчнөөр тэнгэрт хальсан.

Аригбөх. Эцэг нь Чингис хааны хүү Тулуй, эх нь Хэрэйдийн Сорхагтани хатан, 1257 онд Мөнх хаан Сүн улсыг дайлаар мордохдоо төр хариуцан тамга барьж суухаар Хархоринд үлдээсэн, 1260 оны зун Хархоринд Их хуралдайгаар хаан ширээнд өргөмжлөгдсөн ч төрсөн ах Хубилай Шандуд их хуралдай хуралдуулж хаан гэж тунхагласан тул ахтайгаа хаан ширээний төлөө тэмцэлдэн дайтаад 1264 онд ялагдаж Хубилайд буун өгсөн, 1266 онд нас барсан ба хорлогдсон байж магадгүй хэмээн таамагладаг байна.

МОНГОЛЫН ЭЗЭНТГҮРНИЙ ТҮҮХЭН ОН ЖИЛИЙН ДАРААЛАЛ

• 1189 он. Хар зүрхний Хөх нуурт Алтан, Хучир, Сача бэхи, Тайчу зэрэг Хамаг Монголын нөлөө бүхий ноёдын хуралдай болж, Тэмүжинг Хамаг Монголын хаанд өргөмжилжээ.

• 1206 он. Онон мөрний эхэнд Монгол ноёдын Их хуралдай хуралдаж Их Монгол Улс байгуулсныг даяар олонд тунхаглан зарлаж, Тэмүжин ханыг Их Хаан Чингис хаанаар өргөмжлөв.

• 1207 он. Монголчууд баруун хойд Хятад болон Түвдийн зарим газар нутгийг эзлэн оршиж байсан Тангудыг дайлах аян дайныг эхэлж 1210 онд Тангудын хаан бууж өгснөөр Чингис хаан цэргээ гэдрэг татжээ. Тухайн үед уйгарууд Их Монгол Улсад дагаар орж цаашдын аян дайнууд болон улс гүрнийг төвхнүүлэх ажилд идэвхитэй оролцжээ.

• 1211 он. Чингис хааны их цэрэг элсэн говийг гаталж Алтан улсад цөмрөн оров. Цавчаал боомтын тулалдаанаар Алтан улсын цэргийн давуу хүчтэй тулгаран анхны томоохон ялалтыг байгуулав.

• 1218 он. Монголчууд Хар Кидан улсын нутагт нэвтэрч Кашгар хотыг эрхшээлдээ авчээ.

• 1218: Чингис хааны элчийг цаазаар авснаар Хорезмын шах Мухаммед их дайны харангыг дэлдэв.

• 1219 он. Их Монгол Улсын цэрэг Сырдарья мөрнийг гаталж Туркестаны нутагт нэвтрэн оржээ.

• 1219-1221 он. Хэдийгээр умард Хятадад Алтан улстай хийж байсан дайн үргэлжилж байсан ч Чингис хаан баруун зүгт Хорезмын эзэнт улсыг амжилттай дайлж, улмаар Мухаммед шахыг устгах даалгаврыг Зэв, Сүбэдэй нарт өгчээ.

• 1223 он. Зэв, Сүбэдэй нар 20000 морьт цэргийг удирдан Калка голын хөвөөнд анх удаа Орос, Кипчакийн хамтарсан цэрэгтэй тулалдан ялалт байгуулжээ.

• 1227 он. Чингис хаан Тангудыг дайлж яваад тэнгэрт халив. Энэ үед Монголын эзэнт гүрэн 26 сая километр квадрат газар нутгийг эзэлж хэмжээгээрээ Ромын эзэнт гүрэн болон Македоны эзэнт гүрнээс дөрөв дахин том болсон байв.

• 1229 он. Өгэдэй их хаан ор сууж Далай хаанаар өргөмжлөгдөв.

• 1237 он. Монголчууд Бат ханы удирдлаган дор нэгдэн баруун зүгт довтолж Киевийн эсрэг дайныг эхлүүлэв.

• 1240 он. Киевийн вант улсуудыг сөнөөв. Мөн дорно зүгт Солонгосыг эрхшээлдээ оруулав.

• 1241 он. Монголчууд Польшийн Легниц хотын дэргэд Легницийн тулалдаанаар Польш, Герман, Францын хамтарсан 30 мянган хүнтэй армийг бут цохисон байна. Мөн Шайо голын хөвөөнд Унгар, Хорватын 65000 хүнтэй шигшмэл армийг бут цохив.

• 1241-1242 он. Бат хааны арми Болгарыг эзэлж жил бүр алба гувчуур авах болов.

• 1241 он. Өгэдэй хаан нас эцэслэж Европыг эзэлж байсан цэргийн жанжид, хан хөвгүүд Их хуралдайд дуудагдаж нутгийн зүг хүлгийн жолоо залав.

• 1242 он. Монголчууд Адриатын тэнгис хүрэв.

• 1246: он. Гүюг хаан Их хаанаар өргөмжлөгдөв.

• 1248 онд Гүюг хаан нас барав.