Categories
мэдээ цаг-үе

Монголын эзэнт гүрэн

Их Монгол Улс буюу Монголын эзэнт гүрэн бол хамгийн том газар нутагтай эзэнт гүрэн юм. Судлаачид Их Монгол Улсын газар нутгийг 26 сая эсвэл 33 сая километр дөрвөлжин хэмээн олон янзаар тайлбарлаж ирсэн байдаг. Британийн түүхчид Монголын эзэнт гүрэн нь түүхэн дэх хоёр дахь том эзэнт гүрэн хэмээн тэмдэглэсэн нь дагаж орсон буюу хараат түшмэг улс орон, овог аймгуудын газар нутгийг оруулаагүй тооцсонтой холбоотой. Их Монгол Улсын гал голомтыг 1206 онд Чингис хаан асаан бадраасан бөгөөд энэ их гүрэн мандан бадралынхаа алтан үедээ Номхон далайн эргээс Хар тэнгис, Дунай мөрний сав газар, өмнөд этгээдэд Зүүн өмнөд Азийн болон далайн арлуудын хараат буюу вассал орнууд, хойд зүгт Умард мөсөн далай Енисей мөрний сав газрын дагаж орсон овог, аймгуудын эзэмшлийг хамруулсан өргөн уудам газар нутгийг эзэмшиж байлаа. Их Монгол Улсын эзэнт гүрэн 1279 онд дэлхийн хуурай газрын 16 хувийг эзэлж, тухайн үеийн дэлхийн дөрвөн хүн тутмын нэг нь эзэнт гүрний газар нутагт амьдарч байжээ. Монголын эзэнт гүрэн одоогийн ОХУ, БНСУ болон БНАСАУ, БНХАУ, Энэтхэг, Турк, Казахстан, Беларусь, Сири, Афганистан, Пакистан зэрэг 28 улсын газар нутгийг хамран оршиж байв.

Ази, Европын олон арван хот улсыг мөхөөж хэдэн арван сая хүнийг эрхшээлдээ оруулсан авч монголчуудын цэргийн тоо 250 мянгаас хэтэрч байгаагүй аж. Чингис хаан төв Ази, одоогийн Монгол Улсын газар нутаг дахь тархай бутархай олон арван нүүдэлчин аймгуудыг нэгтгэж, Зүрчидийн Алтан улс, Тангуд улс, Хорезмын эзэнт улсыг байлдан дагуулснаар их гүрний алтан үеийг эхлүүлсэн юм. Арванхоёрдугаар зууны сүүлийн хагаст Монголын газар нутагт Хамаг Монгол, Хэрэйд, Мэргид, Татар, Найман зэрэг олон арван нүүдэлчин аймгууд мөнхийн дайн дажинтай байлаа. Хамаг Монгол аймгийн ханаар 1189 онд өргөмжлөгдсөн Тэмүжин улс төр болон цэрэг зэвсгийн хүчээр зэргэлдээх аймгуудыг өөртөө нэгтгэж, 1206 онд Их Монгол Улсыг байгуулсан байна. Тухайн үеийн монголчуудьн өмнө тархай бутархай оршиж, өөр хоорондоо ямагт хагаралдан бусдын зууш болох уу, эсвэл нэгэн дээвэр дор нэгдэн нягтарч, өөдлөн дээшлэх үү гэсэн салаа зам таарчээ. Тэрхүү түүхэн сонголтыг зөв ухамсарласан Хамаг Монголын хан Тэмүжин эсгий туургатанг нэгтгэх тэмцэлд эргэлт буцалтгүй оров. Хамаг Монголын дотор Тэмүжинээс гадна Жамухын толгойлсон хүчний нөлөө хүчирхэгжиж, тэд тус тусдаа хүчээ зузаатгахыг эрмэлзэж байв. Цагийн байдал Тэмүжиний талд аятай эргэж, Жамухын харьяат нараас түүний талд дагаар орсоор байлаа. Хэрэйдийн ханлигийн дотор эвдрэл гарч, Тоорил ханыг хүчин мөхөсдөхөд Тэмүжин ивээлдээ авч тэнхрүүлэв. Тэмүжин Тоорил хантай 1196 онд Алтан улсад туслан, Татарт хамтын хүчээр цохилт өгчээ. Тэд 1199 онд Найманы ханлигтай нүүр тулж байлдсанаас гадна монгол угсааны олон аймгийг байлдан дагуулав. Гэвч Тайчууд, Хонгирад, Ихирэс, Горлос, Татар, Ойрад, Найман аймгийн ноёд эвсэж, Чингисийн эсрэг шинэ холбоо байгуулжээ. Тэд 1201 онд Эргүнэ мөрний хөвөөн дээр хуралдаж, Жамуха сэцэнг Гүр хаан хэмээн өргөмжилжээ. Эл бүлэглэлийн эсрэг Тэмүжин Тоорил хантай хүч хавсран тулалдаж бут цохив. Жамухын эвслийг бут цохисны дараа Тэмүжин 1202 онд Татарыг эрхэндээ бүрмөсөн оруулав.

Жамуха Хамаг Монголын нөлөө бухий язгууртан Алтан, Хучир нарыг талдаа урвуулж, Тоорил ханы хүү Нялх Сэнгүмээр эцгийг нь ятгуулж, 1203 онд Тэмүжиний эсрэг дахин эвсэл байгуулжээ. Үүнийг тагнаж мэдсэн Тэмүжин 1203 оны намар Туул голын хавь газар Тоорил ханыг гэнэдүүлэн цохисон байдаг. Ингэж Тэмүжин хамгийн хүчирхэг Хэрэйдийн ханлигийг мөхөөснөөр монгол туургатны дотор эрх сүр, нэр хүнд нь эрс өсөв. Өмнөх тулалдаануудад ялагдаж, Найманы Таян ханыг тойрон бүгсэн ханлиг, аймгуудын хэсэг бусаг хүчийг 1204 онд бут цохисноор монгол туургатны үндсэн гол хэсгийг өөртөө нэгтгэжээ. Тэмүжин ийнхүү “хагацсан улсаа хамтатгаж, бутарсан улсаа бүртгэх” их үйл хэргийг бүтээсэн билээ.

Гуравдугаар жарны улаан бар жил буюу 1206 он бол Монгол Улсын түүхэнд хэзээ ч мартагдашгүй алтан үсгээр бичигдэх учиртай цаг хугацаа юм. 1206 оны намар Онон голын эхнээ Тэмүжиний oрд өргөөнд Монголын язгууртан дээдсийн их чуулган Их Хуралдай хуралджээ. Их Хуралдайн өмнө тавигдсан үндсэн зорилт нь Их Монгол Улсаа байгуулсныг даяар олноо зарлан тунхаглах, нүүдэлчин монголчуудын төрийн жолоог бат түвшин атгах их хааныг сонгон өргөмжлөх явдал байв. Чингис хааны үндэслэн байгуулсан Их Монгол Улс эхэн үедээ умардаас өмнө этгээдэд Байгаль нуураас Түмэн газрын Цагаан хэрэм хүртэл, зүүнээс өрнө зүгт Хянганы нуруунаас Алтайн чинад хүртэл өргөн уудам нутгийг эзлэн оршиж байв. 1207 онд Зүчи баруун гарын их цэргийг удирдан, буриад, хабханас, тува зэрэг Ойн иргэдийг Их Монгол Улсад нэгтгэлээ. Нүүдэлчин аймгуудын нэгдлийг хөрш зэргэлдээ орнууд таатай хүлээж авсангүй. Улмаар улс төр, эдийн засгийн зөрчил нь дайн үүсэх шалтгаан болж Чингис хаан Зүрчидийн Алтан улс, Тангуд болон Хорезм эзэнт улсад цэрэглэн халдаж цаашлан Азербайжан, Гүржийг эзэлж Калка голын хөвөөн дээр Оросын вангуудын цэргийг бут цохижээ. Тангудын эсрэг хийсэн сүүлчийн аян дайндаа Чингис хаан таалал төгссөн бөгөөд өөрийн байгуулсан эзэнт улсаа хөвгүүддээ гэрээслэн үлдээв.

Чингис хааны зарлигаар түүний өргөмөл дүү, шадар түшмэл Шихихутаг “Их засаг” хэмээх хуулийг батлан гаргасан байна. “Их засаг” хуулийн өмнө энгийн ард иргэд болон ихэс дээдэс тэгш эрхтэй байж, адил ял зэмлэл хүлээдэг байснаараа онцлог юм. Хуулийн ял шийтгэл нь маш хатуу байсан бөгөөд жишээлбэл, дайнд явах үед урд яваа хүнийхээ санамсаргүй унагаасан зүйлийг арын хүн авч өгөхгүй бол цаазаар авах ял оноодог байв. Энэхүү хатуу засаглал нь Монголын эзэнт гүрнийг амар амгалан, тайван тогтуун улс болгох үндэс суурь нь болж байв. Европын жуулчид их гүрний ард иргэдийн өндөр зохион байгуулалттай, дэглэм журамтай байдлыг гайхан шагшсан нь олонтаа. Их гүрний үед сайд түшмэд, цэргийн жанжид өөрсдийн авьяас чадварт тулгуурлан сонгогддог байсан бөгөөд шашин шүтэх эрхийг чөлөөлж өгсөн байна. Ард иргэдийн доторх хулгай дээрмийг чандлан хорьж зөрчигсдийг хатуу шийтгэдэг байв. Биедээ үнэт эрдэнэ тээж яваа ганц бие эмэгтэй хүн гүрний нэг өнцгөөс нөгөө өнцөг хүртэл ямар ч саадгүй аялах боломжтой байсан гэдэг. Их Монгол Улсын үед аян дайнд мордох, их хааныг сонгох зэрэг хамгийн чухал асуудлуудыг Их хуралдайгаар шийддэг байж. Их хуралдайд алтан ургийнхан, цэргийн жанжид, төрийн сайдууд зэрэг хамгийн нөлөө бүхий хүмүүс уулзан цуглаж хамтаар улсын чухал асуудлуудыг шийддэг байжээ.

Их гүрний өнцөг булан бүрт өртөө улаа байгуулж худалдаачид, элчүүдийн аюулгүй байдлыг хангасан байна. Эдгээр өртөө улаагаар дамжуулан худалдаачид Хятадаас Ойрхи дорнод, Европ хүртэл саадгүй аялдаг байв. Чингис хаан төрийн тамгыг хэрэглэх болж, монголчуудыг бичиг үсэгтэй болгох ажлыг эхлүүлж, мөн хуульчид, багш нар, уран бүтээлчдийг татвараас чөлөөлсөн байна. “Их засаг” хууль бол дэлхийн соёл иргэншлийн түүхнээ бүхэл бүтэн нийгэмд улс төрийн байгуулал бүрэлдэн төлөвшихөд томоохон үүрэг гүйцэтгэсэн эртний Вавилоны Хаммурапийн хууль, Энэтхэгийн Манугийн хууль зэрэг алдартай хуулиудтай эн зэрэгцэхүйц ач холбогдолтой цааз-эрхэмжийн нэн чухал баримт бичиг юм. Монголчууд даян дэлхийг засагласан хоёр зуун жилийн хугацааг эдүгээ “Pax Mongolia” буюу Монголын амар амгалант үе гэж нэрлэдэг юм.

Чингис хааныг тэнгэрт хальсны дараа хөвгүүд нь хоорондоо хаан ширээний төлөө тэмцэлдэв. Чингисийн гэрээслэл ёсоор Өгэдэй ширээнд суух ёстой байсан боловч Чингисийн дүү Тэмүгэ Отчигин зэрэг нөлөө бүхий зарим ноёд Чингисийн отгон хүү Тулуйг хаан болгох санаатай байжээ. Хэрлэнгийн Хөдөө аралд эхэлсэн Их хуралдай дөчөөд хоног үргэлжлэн байж 1229 оны билгийн наймдугаар сард Өгөдэйг хаанд өргөмжилснөөр сая өндөрлөжээ. Өгэдэйг хаан ширээнд суухад авга ах Отчигин, Бэлгүдэй, ах Цагадай, дүү Тулуй, ах Зүчийн хүү Бат зэрэг дээдэс онцгой хүндэтгэл үзүүлж мөргөн ёсолж, Цагадай Тулуй нар хоёр талаас түшиж, авга Отчигин араас нь тулж ширээнээ суулгажээ. Үүнээс хойш их хааныг өргөмжлөх бүрт бүгд мөргөх ёсон тогтсон гэдэг. 1241 онд Өгэдэйг нас бармагц хаан ширээний төлөө тэмцэл дахин өрнөжээ. Өгэдэй ач хөвгүүн Ширмүн буюу Тулуйн хүү Мөнх хоёрын нэгийг ширээ залгамжлуулахаар гэрээсэлсэн гэдэг. Гэтэл Өгэдэйн хатан Мэргэд овгийн Дөргэнэ (Туракина) төрийн эрхийг түр барьж байгаа байдлаа ашиглаж өөрийн хүү Гүюгийг хаан болгох гэж хүчлэн оролджээ. Үүнээс болж шинэ хааныг сонгон өргөмжлөх явдал хойшлогдож дөрвөн жил шахам Дөргэнэ хатан төр барьжээ. 1245 оны намар Хар хүрмийн ойролцоохь Далан даваа гэдэг газар Их хуралдай болж Гүюгийг хаанд өргөмжилсөн байна. Тэр хуралдай их өргөн дэлгэр болж Их Монгол Улсын өнцөг булан бүрээс алтан ургийн ноёд язгууртнаас гадна хятад, Орос, Солонгос, Франц, Гүрж, Герман, Араб зэрэг орнуудын төлөөлөгчид болон Ромын пап ламын элч Плано Карпини зэрэг гадаадын олон улсын элчис ирсэн аж.

Гүюг хаан ширээнд удсангүй. 1248 оны хавар дайнд явж байгаад Самарканд хотын орчимд тэнгэрт хальжээ. Энэ завшааныг Гүюгийн хатан Хаймиш ашиглан 1249-1251 онд төр барьжээ. Чингис хааны хойчис Зүчи-Тулуйнхан, Цагадай-Өгэдэйнхэн гэсэн сөргөлдөгч хоёр талд хуваагджээ. Алтан ордны улсын Бат хаан насны ахмад, нэр хүндтэйн учир 1249 онд өөрийн байгаа газарт Их хуралдай хийх санал дэвшүүлж, олон ноёнд урилга заллага явуулжээ. Тэнд цөөхөн хүн очсон боловч Батыг өөрийг нь их хаан болгох саналыг дэвшүүлжээ. Бат түүнийг хүлээж авсангүй, харин Тулуйн хүү Мөнхийг хаанд өргөмжлүүлэхээр тогтоожээ. Мөнх хаан болоод өөрийг нь эсэргүүцсэн Өгэдэйн ач хүү Ширмүүн, Гүегийн хүү Хочин, Нуху, Чингис хааны дотнын нөхөр Зэлмийн хүү Есүндэй, Занги, Цанай, Элжигдэй, түр хугацаагаар төр барьж байсан Хаймиш хатан, Гүюгийн их түшмэл Чингай зэрэг дал гаруй хүнийг хатуу чанга шийтгэн ихэнхийг нь цааш нь харуулж Дөргэнэ хатан зэргийг цөлсөн байна. Мөнх хааны энэ эрс тэс ширүүн арга хэмжээ нь Мөнх хааны байр суурийг бэхжүүлж, улс төрийн хямрал тэмцлийг намжаасан байна.

Монголын эзэнт гүрэн байгуулагдсан эхэн үедээ нэгдэл нягтрал сайтай, нэгэн удирдлагатай байсан боловч аажмаар бие даасан улсууд болон задарсан байна. Чингис хаан дөрвөн хүүдээ эзэмшил нутаг олгохдоо ахмад хүү Зүчидээ Арал тэнгисээс баруун тийш Каспийн тэнгисийн умар зүгийн нутгийг, хоёрдугаар хүү Цагаадайдаа Алтайн нуруунаас баруун тийш Амур мөрөн хүртэл буюу Тэнгэр уулын ар өвөр, хуучин баруун Ляо улсыг, гуравдугаар хүү Өгэдэйдөө Найман аймгийн эзэмшил буюу Эльба мөрний дээд урсгалаас баруун тийш Балхаш нуурын зүүн талын нутгийг тус тус хуваажээ. Өгэдэй хааныг нас барснаас хойш тав, зургаан жил хаан ширээ эзгүйрч, Монголын төрийн ордны эрх суларсан билээ. Хэдий тийм боловч Мөнх хаан нас барсан 1259 оныг хүртэл Монгол орон эзэнт гүрний төв нь болсоор байсан юм. 1261 онд хаан ширээнд суусан Хубилай хаан Хятадад Монголын Юань гүрнийг байгуулжээ. Тулуйн хүү Хүлэгү 1253-1254 оныг хүртэл баруун тийш аян дайн хийж, Иран, Багдад, Араб зэрэг орныг байлдан дагуулж, Ил хант улсыг байгуулав. Зүчийн хүү Бат 1235-1241 оныг хүртэл баруун зүг Кипчак нутгийг эзлэн улмаар Орост довтолж, Польш, Мажар (Унгар)-ыг эзлэн Алтан ордны улсыг байгуулжээ. Дундад Азид Цагаадайн хаант улс бий болов. Мөнх хаан эзэнт гүрний эрхийг барьж байх үедээ өмнө зүгийн улс орны цэрэг, засаг захиргааны эрхийг голчлон барьж, харин Бат ханд өрнө зүгийн улс орны цэрэг, засаг захиргааны эрхийг ихэвчлэн мэдүүлж байсан баримт “Судрын чуулган”-д байдаг. Ер нь Мөнх хааныг нас барснаар Алтан ордон, Цагаадайн хант улс, Хубилай хааны таалал төгссөнөөр Ил хант улс тус тус биеэ даасан улс болон задарсан билээ.

ИХ МОНГОЛ УЛСЫН ЭХЭН ҮЕИЙН ХААД

Тэмүжин. Өргөмжилсөн нэр нь Чингис. Эцэг нь Есүхэй, эх нь олхонуд аймгийн Өүлэнүжин. Усан морин жилийн зуны тэргүүн сарын 15-нд буюу 1162 онд одоогийн Хэнтий аймгийн Дадал сумын нутаг Онон мөрний Дэлүүн болдог гэдэг газар төрсөн. Шороон тахиа жил буюу 1189 онд Хамаг Монгол Улсын хан болж, Чингис гэдэг цол авсан. Улаан барс жил 1206 оны намар Их Монгол Улсын хаанд өргөмжлөгдсөн. Хаан ширээнд 22 жил сууж гал гахай жил буюу 1227 оны зун 66 насандаа тэнгэрт хальжээ.

Өгэдэй. Өргөмжилсөн нэр нь Далай хаан. Эцэг нь Чингис, эх нь хонгирад аймгийн Бөртэ Үжин. 1186 онд төрсөн. 1229 оны есдүгээр сараас 1241 оны арванхоёрдугаар сард хаан ширээнээ 13 жил суужээ. Их хатан нь найман аймгийн Дөргэнэ. Далай хаан 1241 оны өвөл тэнгэрт хальсан.

Гүюг. 1206 онд төрсөн. Эцэг нь Өгэдэй хаан. Эх нь Дөргэнэ хатан. 1245 оны хавар их хаанд өргөмжлөгдсөн, 1248 оны хавар тэнгэрт хальсан. Гурван жил хаан ширээнд суусан.

Мөнх. Эцэг нь Чингис хааны отгон хүү Тулуй, эх нь Хэрэйдийн Сорхагтани хатан. 1208 онд төрсөн. 1251 оны зун их хаанаар сонгогдсон, хаан ширээнд есөн жил суусан, 1259 оны зун Сычуаньд цэргийн хуаранд өвчнөөр тэнгэрт хальсан.

Аригбөх. Эцэг нь Чингис хааны хүү Тулуй, эх нь Хэрэйдийн Сорхагтани хатан, 1257 онд Мөнх хаан Сүн улсыг дайлаар мордохдоо төр хариуцан тамга барьж суухаар Хархоринд үлдээсэн, 1260 оны зун Хархоринд Их хуралдайгаар хаан ширээнд өргөмжлөгдсөн ч төрсөн ах Хубилай Шандуд их хуралдай хуралдуулж хаан гэж тунхагласан тул ахтайгаа хаан ширээний төлөө тэмцэлдэн дайтаад 1264 онд ялагдаж Хубилайд буун өгсөн, 1266 онд нас барсан ба хорлогдсон байж магадгүй хэмээн таамагладаг байна.

МОНГОЛЫН ЭЗЭНТГҮРНИЙ ТҮҮХЭН ОН ЖИЛИЙН ДАРААЛАЛ

• 1189 он. Хар зүрхний Хөх нуурт Алтан, Хучир, Сача бэхи, Тайчу зэрэг Хамаг Монголын нөлөө бүхий ноёдын хуралдай болж, Тэмүжинг Хамаг Монголын хаанд өргөмжилжээ.

• 1206 он. Онон мөрний эхэнд Монгол ноёдын Их хуралдай хуралдаж Их Монгол Улс байгуулсныг даяар олонд тунхаглан зарлаж, Тэмүжин ханыг Их Хаан Чингис хаанаар өргөмжлөв.

• 1207 он. Монголчууд баруун хойд Хятад болон Түвдийн зарим газар нутгийг эзлэн оршиж байсан Тангудыг дайлах аян дайныг эхэлж 1210 онд Тангудын хаан бууж өгснөөр Чингис хаан цэргээ гэдрэг татжээ. Тухайн үед уйгарууд Их Монгол Улсад дагаар орж цаашдын аян дайнууд болон улс гүрнийг төвхнүүлэх ажилд идэвхитэй оролцжээ.

• 1211 он. Чингис хааны их цэрэг элсэн говийг гаталж Алтан улсад цөмрөн оров. Цавчаал боомтын тулалдаанаар Алтан улсын цэргийн давуу хүчтэй тулгаран анхны томоохон ялалтыг байгуулав.

• 1218 он. Монголчууд Хар Кидан улсын нутагт нэвтэрч Кашгар хотыг эрхшээлдээ авчээ.

• 1218: Чингис хааны элчийг цаазаар авснаар Хорезмын шах Мухаммед их дайны харангыг дэлдэв.

• 1219 он. Их Монгол Улсын цэрэг Сырдарья мөрнийг гаталж Туркестаны нутагт нэвтрэн оржээ.

• 1219-1221 он. Хэдийгээр умард Хятадад Алтан улстай хийж байсан дайн үргэлжилж байсан ч Чингис хаан баруун зүгт Хорезмын эзэнт улсыг амжилттай дайлж, улмаар Мухаммед шахыг устгах даалгаврыг Зэв, Сүбэдэй нарт өгчээ.

• 1223 он. Зэв, Сүбэдэй нар 20000 морьт цэргийг удирдан Калка голын хөвөөнд анх удаа Орос, Кипчакийн хамтарсан цэрэгтэй тулалдан ялалт байгуулжээ.

• 1227 он. Чингис хаан Тангудыг дайлж яваад тэнгэрт халив. Энэ үед Монголын эзэнт гүрэн 26 сая километр квадрат газар нутгийг эзэлж хэмжээгээрээ Ромын эзэнт гүрэн болон Македоны эзэнт гүрнээс дөрөв дахин том болсон байв.

• 1229 он. Өгэдэй их хаан ор сууж Далай хаанаар өргөмжлөгдөв.

• 1237 он. Монголчууд Бат ханы удирдлаган дор нэгдэн баруун зүгт довтолж Киевийн эсрэг дайныг эхлүүлэв.

• 1240 он. Киевийн вант улсуудыг сөнөөв. Мөн дорно зүгт Солонгосыг эрхшээлдээ оруулав.

• 1241 он. Монголчууд Польшийн Легниц хотын дэргэд Легницийн тулалдаанаар Польш, Герман, Францын хамтарсан 30 мянган хүнтэй армийг бут цохисон байна. Мөн Шайо голын хөвөөнд Унгар, Хорватын 65000 хүнтэй шигшмэл армийг бут цохив.

• 1241-1242 он. Бат хааны арми Болгарыг эзэлж жил бүр алба гувчуур авах болов.

• 1241 он. Өгэдэй хаан нас эцэслэж Европыг эзэлж байсан цэргийн жанжид, хан хөвгүүд Их хуралдайд дуудагдаж нутгийн зүг хүлгийн жолоо залав.

• 1242 он. Монголчууд Адриатын тэнгис хүрэв.

• 1246: он. Гүюг хаан Их хаанаар өргөмжлөгдөв.

• 1248 онд Гүюг хаан нас барав.

Categories
мэдээ цаг-үе

Дамбын ШАГДАРСҮРЭН: Онцгой байдал хариуцсан Шадар сайд “Зуун жилд болоогүй хатуу өвөл болно” гэж гадны хүний үгээр намирах утгагүй

Монгол Улсын соёлын гавьяат зүтгэлтэн, цаг уурч, “Малчин” телевизийн сэтгүүлч Дамбын Шагдарсүрэнтэй уулзаж хөөрөлдлөө.


-Энэ сарын 1-ний өдөр тантай хамт Баянхонгор аймгийн Өлзийт сумын нутагт явж байхад өвлийн дунд сарын шинийн хоёрны сар гарч та “Энэ сардаа цас зудгүй сайхан байх нь” гэж шинжиж байсан. Сар шинжихэд “Ийм сар гарвал хэдэн километрийн тойрог нутагт цас зуд болохгүй” гэх тийм шинж тэмдэг мэдэгдэх үү?

-Бөмбөрцөг чинь бөөрөнхий. Сая бид шинийн хоёрны сарыг Баянхонгорын Өлзийтөд харлаа. Тэгэхэд Дорнодод харвал нэг өөр, Ховд, Увс, Говь-Алтайгаас харвал бас нэг өөр л харагдана шүү дээ. Шинийн тавны сар гарах үед Өмнөговиос “Шагдарсүрэн гуай та сар харж байна уу. Маш хачин сар байна. Бөөрөнхий хүрээтэй, тэр нь хөх туяатай, голдоо тод одтой” ч гэх шиг утасдаж байна. Гараад харлаа. Улаанбаатарт утаа, чийдэн хоёрт сар ажиглах тун бэрхшээлтэй. Тэнгэр цэлмэг байсан тулдаа байрныхаа гаднаас харлаа. Махирынхаа дотор талд нэг гялгар од яах аргагүй байна. Утаанаас ч болсон уу, хүрээ нь ажиглагдсангүй. Тэгэхээр нь би Өвөрхангайн Хархорин руу нутаг руугаа утасдлаа. Тэндээс “Онцгой юм алга. Сайхан цэлмэг байна” л гэж байна лээ. Одоо сарны тойрог чинь дэлхийд ойрхон хэсэг дээрээ явж байгаа л даа. Эртээр 15-ны сарыг “Орчлонд байхгүй том сар алган дээр чинь буугаад ирнэ” гэж цуураад байсан даа. Сар зуйван дугуй тойргоор дэлхийг тойрч байгаа шүү дээ. Зуйван дугуйгийнхаа хоёр хажуу талд ирэхээрээ сар дэлхийд ойртож харагдана. Хоёр үзүүрт нь очихоороо холдож харагдана. Холын юм гэдэг чинь жижиг харагдана биз дээ. Сарны тойрог чинь ерөөсөө л механик ойлголт. Газарзүйн өргөрөг, уртрагийн хуваариар Өмнөговиос харахад нэг янз, Өвөрхангайн Хархориноос харахаар өөр янз харагдаж байна. Тэрэнтэй адилхан шинийн хоёрны сар ч ялгаагүй аль нутагт ямар харагдана, тухайн орчиндоо л нөлөөлнө гэсэн үг.

-Таныг цаг уурч гэдгээр тань Монголын ард түмэн дэндүү сайн мэднэ. Цаг уурч мэргэжлийг хэзээ эзэмшив?

-Би эзэмшсэн мэргэжлийнхээ дарааллаар сонин хэвлэлд цаг уурч, сэтгүүлч гэж заавал бичдэг юм. МУИС-ийн цаг уурын ангийг 1975 онд төгссөн. Цаг уурч гэдэг дотроо олон салбартай мэргэжил шүү дээ. Уур амьсгал судлалын, цаг агаарын урьдчилсан мэдээ гаргадаг синоптикч цаг уур, агаар мандлын дээд давхаргыг судалдаг мэргэжилтэн, бэлчээр ус судалдаг хөдөө аж ахуйн цаг уурч гээд маш олон төрлөөс минийх цаг агаарын урьдчилсан мэдээ гаргадаг нь юм. Их сургууль төгсөөд Дорнод аймгийн цаг уурын товчоонд гурван жилийн гэрээтэй хуваарилагдан хоёр жил найман сар ажиллаад Цаг уурын хүрээлэнд ажиллаж байгаад 1978 онд Дэлхийн цаг уурын байгууллагын олон улсын хайгуул судалгааны экспедицид оролцож Энэтхэгийн болон Номхон далайгаар явж 1979 оны наймдугаар сард ирсэн. Эргэж Цаг уурын хүрээлэнд 1990 он хүртэл ажилласан. Тэндээс Монголын радио гэдэг айлын хүн болсон доо.

-Манай малч удамтай өвөг дээдэс тэнгэр унших өөрийн гэсэн эрдэмтэй улс. Эрдэмтэн лам нар нь одон зурхайгаар бас цаг агаарыг уншдаг байж. Та цаг уурыг урьдчилан таамаглах өөрийн мэргэжлээ өвгөдийн их эрдэмтэй харьцуулж шинжилж үздэг байв уу?

-Судалж үзээд байх ч юу байхав. Манай багш Лувсангийн Нацагдорж хэмээх том эрдэмтэн намайг “Хүн орчин үе рүүгээ урагшаа харж явдаг байхад чи арагшаа ухарч явах юм” гэж шүүмжилдэг л байсан. Би оюутан байхын л өвгөдийнхөө ухааныг шүтдэг хүн байсан. Орчин үеийн шинжлэх ухааны цаг агаарын урьдчилсан мэдээ гаргаад, тэрийгээ богино хугацааны өглөөний нар харах, өглөөний үүл харах ч юм уу, урт хугацааны сар мичдийн тохиол, дунд хугацааны гэхэд урьд сарын 27-ны сар, шинийн хоёрны сар зэргийг ажиглаж дүйх нь үү, үгүй юу гэдгийг анзаарч явдаг байхгүй юу. Би ч багшийн үгэнд орохгүй “арагшаа харж” явсаар үүнийгээ дагнаад хийчихдэг болсон юм. Түвд, хятадаас монгол хэл рүү буулгасан хуучны ном судар ч бишгүй харсан. Хамгийн наад зах нь гэхэд “Элдэв хэрэгт хаш хайрцаг” гэдэг судрыг эргүүлэхээр шинжлэх ухааны зах зух үзчихсэн хүн чинь ардын ухаан үүнийг юу гэж тайлах бол гээд хорхой хүрээд байдаг юм. Заримд нь өөрийн ажиглалт, тайлбараа ч хийнэ.

-Тухайлбал, тэр тайлбар ажиглалтаасаа хуваалцаач!

-Олон хоног урдаасаа, зүүн урдаасаа, баруун урдаасаа салхилаад байвал ар дээр нь тэнгэр заавал муудна. Өмнө зовхисын гурван зовхис байна шүү дээ. Энэ гурван зовхисоос салхилаад байвал цаг уурын мэргэжлийн хэлээр бол өндрийн хотос гэдэг агаарын урсгал орж ирж байгаа юм. Энэ их хөгжих юм бол агаарын циклон болж тогтдог. Урд гурван зовхисоос салхины урсгал дайрч өнгөрөөд салхи дахин эргээд баруунаасаа, баруун хойд талаасаа, хойд талаасаа болоод процесс дуусна гэсэн үг. Яг тэр салхин чиглэлийн уулзвар дээр цаг уурын бидний хэлдгээр агаар мандлын фронт гэж дулаан, хүйтэн хоёр агаарын массын уулзвар нийлдэг. Энэ уулзвар дээр л бороо, цас, хур тунадас орж, шуурга тавьдаг. Өмнө зовхисоос салхилахыг малчид маань салхи урих гэдэг дээ. Араас ирж байгаа цас, бороогоо нэг ёсондоо урьж байхгүй юу.

-Салхи урих гэснээс манай яруу найрагчдын “Бямбадаа орсон бороо нямдаа тогтсонгүй. Бүтэн долоо хоног орох нь” гэж шүлэглээд байдаг бас учиртай байх нь уу?

-Агаар тэнгэрийн муудах үзэгдлийн гурваас долоо хоногийн үелэл байдаг. Газрын мандлаас таван километр өндөр дэх агаарын урсгал газрын гадарга дээрх цаг агаарын байдлыг үндсэнд нь жолоодож явдаг байхгүй юу. Долгион л яваад байгаа юм. Тэр долгилсон юм тухайн газар нутагт дайран өнгөрөх хугацаа бол дунджаар тав, зургаан хоног байгаа юм. Тэгэхээр “Бямбадаа орсон бороо нямдаа зогсохгүй нь” гэдэг чинь долоо хоног орно, цааш үргэлжилбэл цаг агаар муудна гэсэн үг болно. Нөгөө өндрийн хотос чинь гүнзгийрээд өндрийн циклон болоод тогтчихоор шилжилтийн хурд нь багасаад орох хур тунадас нэмэгдээд тухайн газар нутагт цаг агаар удаан хугацаагаар муудаж байгаа юм.

-Зүгээр ч нэг шүлэг биш болоод явчихав аа?

-Биш биш, тэр чинь шинжлэх ухааны үндэстэй юм байхгүй юу. Тэр байтугай ардын дуунд хүртэл ийм үндэслэлтэй зүйл их байна.

-За, ямар дуунд байх юм?

“Баруун хойноос үүл нь гарлаа

Бас л бороо орох нь дээ” гэсэн дуу байна. Энэ бол агаарын фронт хурдан дайраад өнгөрөхийг хэлж байгаа юм. “Бас л” гэдэг чинь дахин дахин гэсэн үг. Түр зуур бороо орох нь ээ гэсэн ойлголт. Нөгөө дуунд чинь

“Зүүн хойноос үүл нь гарлаа

Зүс бороо орох нь ээ” гэж байгаа юм. Нөгөө гурваас тав хоног хоног болоод өнгөрдөг өндрийн хотос чинь тухайн нутаг дээр хурд нь удаашраад, битүү хуйлраа үүсчихэж байгаа юм. Тэгэхээр мөддөө арилж өгөхгүй нь дээ. Нар буруу урсгалтай өндрийн циклон мөд явж өгөхгүй нь ээ гэсэн үг болж байгаа юм. “Зүүн урдаас урьсан зүс бороо” гэдэг чинь удаан хугацааны бороо байхгүй юу. Ардын дуунд хүртэл ийм сонин үндэслэл байж байна гээд бод доо.

-Өвөл, зуны улиралд есөн ес байдаг гээд байгаа. Үүнээс бид мэт нь өвлийн есийг л мэдэх юм даа?

-Халууны ес, хүйтний ес гэж байна. Энэ есүүд улирал сарын ерөнхий төлөв байдлыг дундажлаад хэлчихсэн үзүүлэлтүүд гэж ойлгомоор байгаа юм. Тухайн жил хүйтэн болоод таван ес дуусчихаад байхад тавьсан будаа гэсэхгүй байж болно. Тэртээх 1944 оны мичин жилд таван ес дуусахад тавьсан будаа гэсээгүй байх гэж би дотроо боддог юм. Ес гэдэг тэгэхээр уур амьсгалын дундаж үзүүлэлт л байгаа юм. Идэр гурван ес гэхэд тухайн жилийн хүйтний туйл болдог гэсэн үг.

-Манай төр засгийн эрх мэдэлтнүүд саяхан “Зуун жилд тохиогоогүй хүйтэн өвөл болно” гээд цуурцгаасан. Та энэ мэдэгдлийг юу гэж бодож байв?

-Амьтан тэгж цуураад байгаа дуулдсан юм. Онцгой комиссын хурал арваннэгдүгээр сарын эхээр Шадар сайд У.Хүрэлсүхийн өрөөнд болсон. Тэр хуралд би сууж байлаа. Тэнд Ус, цаг уур, орчны шинжилгээний дарга Энхтүвшин цаг агаарын ерөнхий төлөв байдал, цасан бүрхүүл ямар байна вэ, цаг хүндрэхэд зудын эрсдэлтэй нутгууд хаа байна вэ гэдгийг тайлагнасан. Хөдөө аж ахуйн сайд гэхэд өвөлжилтийн бэлтгэл ажлын талаар танилцууллаа. МҮОНРТ-ээр “Зуун жилд байхгүй хүйтэн болно гэж мэргэжлийн байгууллага мэдэгдлээ” гэж “Цагийн хүрд”-ээр гарсан юм. Энэ тухай би Энхтүвшин даргаас “Танайх ямар үндэслэлээр энэ мэдэгдлийг гаргав” гэж асуулаа. Энхтүвшин дарга “Манайх тийм мэдээ гаргаагүй” гэсэн. Тийм мэдээлэл гаргах үндэслэл яагаад ч байх ёсгүй л дээ. Манай Ус, цаг уур, орчны шинжилгээний газарт зуун жилийн өмнө ямар байсныг харах материал байхгүй. 1936 оноос Чойбалсан, Өндөрхаан, Улаанбаатар, Улаангом, Улиастай, Даланзадгад зэрэг цөөн тооны газарт ажиглалтын станц тавьсан болохоор сайндаа 80 жилийн материал байгаа. Тэгсэн Онцгой комиссын хуралдаан дуусаж байх үед У.Хүрэлсүх сайд гар утаснаасаа харсан уу, яасан “Түрүүний сэтгүүлчийн асуултад нэмж хариулахад Германы сэтгүүл дээр тэгж бичсэн байна” гэж байгаа юм. Германы нэг сэтгүүлчийн бичсэнээр “Монголд аюултай хүйтэн болно” гэж Монгол Улсын төр засаг бодлогодоо оруулна гэдэг туйлын буруу зүйл юм даа. Өөрийн гэсэн албан ёсны мэргэжлийн байгууллага нь мэдээ гаргаагүй гэж байхад гадны хаа хамаагүй хүний ам дагаж намирна гэдэг даанч нэг болохгүй зүйл мөн биз.

-Өвлийн эхэн сар ч ингээд дуусчихлаа. Таны ажигласнаар өнгөрөгч зуун жилийн дунджаар өвөл ямаршуухан болох бол?

-Минийхээр гэсэн арга чарга байхгүй шүү дээ. “Чингис хаан” дээд сургуулийн багш, түүхч Балжинням Монголын түүхийн тухай есөн боть гаргасан. Балжинням ямар нэгэн дүгнэлт хийлгүй, олдсон бүх баримтыг жагсааж бичсэн. Тэр түүхч хүний хамгийн чухал ажил шүү. Энэ номд хэдэн онд, ямар ган зуд болсныг бичсэн байдаг. Тэрнээс өмнө “Халх товчоон”-д Гонгор гуай Монголын нутагт болсон ган зудын талаар мэдээлэл өгсөн байдаг. “1872 онд Халхын төв хэсэгт айхтар ган болж, хээрийн өвс аяндаа ноцож, арван тооны адуу үхэр тутмаас найм ес нь үхэж байв” гэж бичиж үлдээсэн байдаг. Тэр үеийн халхын нутаг гэдэг одоогийн монгол орны газар нутгаас хамаагүй том байсан цаг. Өмнөд Монголын нутаг хамрагдаж байсан байж таарна. Шинжлэх ухааны үндэслэлтэй цаг уурын мэдээ хуримтлуулдаг болсноос хойш хүн бүхний мэддэг 1944 оны мичин жилийн зуд байна. Миний мэдэрсэн 1968 оны мич, 1980 оны мичин жилүүд байна. Мичин жил болгон зуд болж байгаагүй. Сайхан мичнүүд байна. Мичнээс илүү зуд болж байсан жилүүд олон байна. Их гахай жилийн зуд гэж манайхан их ярьдаг. 1947 оны гахай байна. 1932 оны мич нэлээд ширүүн болсон гэж ярьдаг юм билээ. Мичин жил бүхэн ч аюул тариад байдаггүй юм, хөөрхий. 1968 оны мичин жил дөчин дөрвөн оныхоосоо зөөлөн байгаагүй. Гагцхүү ЗХУ-ын тусламжаар даван туулсан байдаг. Нутгийн мөчлөгөөс шалтгаална. Бид хоёрын сая явсан Баянхонгорт л гэхэд юун зудын тухай ярих, харин ч малын амны ус байна уу, үгүй юу гэж ярих хэрэгтэй болж байгаа биз дээ. Эрдэнэсантын тал жилийн жилд цастай байдгаараа жаахан цастай, Төв аймгийн Баянцогтын ар байнга шуурдгаараа явган шуургатай байлаа шүү дээ. Тэгэхэд цааш дандаа бараан байна.

-Монгол орны баруун, зүүн тал ямаршуухан байдаг юм бол доо?

-Дорнодын хойд тал, Хянганы нурууны ар, ерөнхийдөө зүүн аймгуудын нутаг, Дорнод Монголын тал зундаа гандуу байж байгаад намрын сүүлчээр асар их бороо ороод баллаад хаячихлаа. Есдүгээр сард орсон бороонд өвс ногоо ургаад зун болж байгаа юм шиг болж байгаад араас нь цас залгаад мөсөн бүрхүүл тогтоод Дорнодын Цагаан-Овоо зэрэг сумд хачин болчихлоо шүү дээ. Баруун талд хамгийн сонирхол татаж байгаа газар бол Ховдын Байтагийн нуруу байна. Байтаг чинь өдийд малын амны цас байна уу, үгүй юу гэж байдаг газар. Тэгтэл энэ өвөл хамгийн их цастай газрын тоонд орчихсон явж байна. Цаг уурын ажиглалтын талбай дээр 28-30 см цас тогтчихсон, уулаараа морь гэдэсдээд явахгүй байна л гэж байна. Монголын бүх нутаг даяар ширээний тавцан дээр будаг түрхчихсэн юм шиг байдаггүй нь эндээс харагдаж байгаа. Тухайн газар нутгийн онцлог, агаар мандлын орчил урсгалын онцлог анзаарагдаж байна. Байтагт яагаад энэ жил их цас уначихав аа гэвэл миний таамаглаж байгаагаар Уралын гүвээ гэж бидний ярьдгаас доошоо сунасан хотос илүү нам өргөрөг рүү сунаад тэрний баруун урдаас урина гэдэг салхи Бенгаалын булан хавиас чийглэг агаар зөөж ирдэг. Тэнгэр уулыг давж чадвал Шинжааны нутгаар дайрч тэр чигээрээ манайд орж ирнэ. Тэр нөхцөлд баруун талаас ирж буй Сибирийн гаралтай юм уу, Атлантын далайн хойд хэсэг Хөгшин Европын гаралтай хүйтэн агаарын масс яг баруун өмнөд нутаг дээр орж ирж Байтаг богдын хавьд уулзвар нь дайрч, хур тунадас хамгийн их орж байгаа юм. Увсын Малчин, Баянтэс, Завханы Тосонцэнгэл зэрэг нутаг бол жилийн жилд л хүйтэн, хур тунадас их унадаг газрууд. Хөвсгөл энэ жил нийт нутгаараа цас ихтэй байна. Энэ намрын анхны цас орсон газруудад цаг агаар хэцүүдэх төлөв зонхилж байгаа. Манай малчид тухайн өвлийн анхны цасыг “Нутаг үзэж яваа” гэж ярьдаг. Анхны цас орсон газар дараагийн цас барааднаа гэсэн үг.

Categories
мэдээ цаг-үе

Нацагийн Жанцанноров: Монгол хүний багш бол таван хошуу мал нь юм

Монгол Улсын ардын жүжигчин, төрийн хошой шагналт хөгжмийн зохиолч Нацагийн Жанцанноров “Номын жор” өдөрлөгт оролцохдоо оюунлаг залуустай ийн хөөрөлдсөн юм.


-Залуусын урилгыг хүлээн авч хүрэлцэн ирсэнд талархлаа. Та бидэнтэй оюун билгийнхээ охиос хуваалцаач!

-Би та нарт хэрэгтэй юм хэлэх үү, үгүй юу гэдэгтээ эргэлзэж байна. Яагаад гэвэл аливаа юм цагтай. Орчлон ертөнцийг төсөөлж байгаа та бидний төсөөлөл бол ерөнхийдөө зарчмын хувьд ижил боловч та нар өөр цагт төрж өссөн, би өөр цагт төрж өссөн учраас нийлэхгүй юм бидэнд их бий. Тийм учраас би ингэж боддог, ингэх нь зүйтэй гэж ярих нь өөрийгөө тулгасан хандлага болно. Тиймээс миний үгийг өнгөрсөн цаг дээр аваачиж “Эдний үеийнхэн ийм юмыг ингэж боддог юм байна, манай үеийнхэн үүнийг яаж бодвол зүгээр вэ” гэдгийг ухаандаа сайн тунгаах хэрэгтэй. Тэрнээс “ахмадын үг алт” гээд яваад байвал зарим нь хольцтой шүү. Цагийн хольцтой. Тэрнээс ухааны хольц бол хамаа алга.

-Та нэгэнтээ “Хөгжмийн амин сүнс бол аялга байдаг” гэж хэлсэн байдаг даа. Тэгвэл номын ариун сүнс гэвэл юуг хэлэх бол?

-Би тэгж хэлсэн нь үнэн. Аялга гэж хэлний аялгын тухай биш хөгжмийн аялгын талаар хэлсэн хэрэг. Номын амин сүнс гэдгийг номт мэргэд л хэлнэ. Орчлонгийн хүмүүс хүнийхээ хувьд бүгд ижил. Тэгэхдээ тухайн үндэстэн гэх юм уу, мянга мянган жилд оршсон нутаг ус гэх юм уу, эрхэлсэн ахуй юм уу эсвэл тэнгэрээс өгөгдсөн оюун ухааны өгөгдлүүд нь өөр өөр байгаа. Хэрвээ ийм л өөр өөр байгаа бол тухайн үндэстний номынх нь ч амин сүнс, хөгжмийнх нь ч амин сүнс өөр өөр байгаа. Өөр байна аа гэдэг нь Оросын хөгжмийн амин сүнсээс Монголын хөгжмийн амин сүнс илүү гэсэн үг бас биш. Тухайн үндэстний оюун соёлын бүтээмж бусад үндэстнийхээс илүү юм уу, дутуу байх албагүй. Өөр л байна. Өөр байгаагаараа давуу талтай.

-Монгол үндэстний бусад үндэстнээс өөр байх оюун соёл юу байдаг бол?

-Бидний хэчнээн мянган жил дөрвөн төрөл, таван хошуу малаа адуулж маллаж ирсэн энэ соёл монгол хүнийг хүн болгосон гэж жинхэнэ оюун ухаант хүний хувьд би боддог юм. Мал бол монгол хүний багш гэж заримдаа би хэлдэг. Өөрөөр хэлбэл, мал малласан хүн даарахын, өлсөхийн, өнчрөхийн, ядрахын, зовохын бүх зовлонг юуны түрүүнд малаасаа мэдэрдэг. Эндээс хайр бий болдог. Энэ хайр монголчуудыг бусад үндэстнүүдээс хамаагүй өндөрт авч явдаг гэж би итгэдэг. Төмс тарьдаг хүн бол арай өөр л гэж би бодоод байна шүү дээ. Хөдөө хээр өнчирсөн ботгоо дотроо эзэн хүн бодохдоо үр хүүхэдтэйгээ зүйрлэн боддог. Тэр нь монгол хүнийг хүнлэг, энэрэнгүй байх, орчлон ертөнцтэйгөө зөв харилцах харьцааг бидэнд суулгасан. Та нар өнөөдөр өөрийгөө арван есөн настай, хорин таван настай гэж бодож байна. Энэ бол таны төрсөн нас, оны тоо яах аргагүй мөн. Ер нь бидний хэн ч байлаа гэсэн монгол хүний настай чацуу байгаа. Миний санаа ойлгогдож байна уу. Өөрөөр хэлбэл, монголчуудын мянга мянган жилд туулж ирсэн туршлага, оюун санааны бүхэл бүтэн бодомжийг өөртөө тээж яваа. Тиймээс бид өөрсдийгөө хэдхэн настай хүн гэхээсээ илүүтэй хэдэн мянган жилийг туулсан монгол хүнийг өөр дээрээ ачиж яваа бодгаль гэж ойлгох хэрэгтэй. Энэ бодгалийг бүтээсэн бүүвэйн дуу, тэр ингэний гингэнээ, хонь тойглох аялгууны үндэс нь монгол хөгжмийн амин сүнс юм гэж би хөгжмийн зохиолч хүний хувьд боддог. Тэгэхээр Монголын утга зохиолын амин сүнс нь монгол хүнийг барьж байдаг, бусдаас ондоо, давуу байлгаж чаддаг мянга мянган жилийн өгөгдөлтэй холбоотой тэр үзэл санаа юм.

-Таныг “Мандухай цэцэн хатан”, “Мөнх тэнгэрийн хүчин доор” уран сайхны кинонуудын хөгжмийг туурвисан уран бүтээлч гэдгийг Монголын ард түмэн мэднэ. Та Монголын хөгжмийн ертөнцөд гандан буурахгүй мундаг бүтээлүүдээ дархлан туурвиж чадсан уу?

-Намайг хүмүүс их юм хийсэн хөгжмийн зохиолч гээд байдаг юм. Яг эргээд харахаар ерөөсөө юу ч хийгээгүй юм шиг байна шүү. Залуус та нар энэ үгийг Жанцанноров зөндөө юм хийчихээд худлаа маяглаж байна гэж бодож магадгүй. Тэгвэл миний насанд ирчихээд нэг бодоод үзээрэй. Хүний хүсэл, хийх чадамж хоёр гэж хязгааргүй аварга юм байдаг юм даа. Хязгааргүй зүйл гэж ерөөс байдаггүй л юм гэсэн. Энэ аварга их юмаа хүн бардаггүй юм байна. Барж чадах насандаа бүтээж туурвихгүй бол сүүлдээ харамсах шинжтэй. Би өөртөө их харамсаж байгаа. Аливаа бүтээлийг хүн уншиж ашиглахаас гадна өөрсдөө бүтээх хэрэгтэй. Хэвлүүлж нийтлээд алдар сууд гарвал өөр хэрэг. Чиний бичиж байгаа ном бол гайхамшигтай бүтээл байх болно. Чи л хийж байгаа учраас өөр хэн ч хийж чадахгүй. Яагаад чи гэсэн нэг бодгаль байгаад байна гэвэл одоохондоо хүнийг хувилаагүй байна. Солонгост тугал хувилсан л юм гэсэн. Чамайг хувилаагүй л байгаа бол энэ орчлонд цорын ганцаар байгаа. Өөр яг чам шиг бодгаль хорвоо дээр байхгүй. Хэрвээ чи ганцаараа л байгаа бол чиний чадах юмыг бусад хүн яагаад ч хийж чадахгүй. Тиймээс та нар “Өнөөдөр нэг роман биччихье” гэж өөрийгөө зовоогоод хэрэггүй. Бүх амьдралдаа болсон, болоогүй бүх зүйлээ зүгээр л цаасан дээр тэмдэглээд бичээд бай гэж зөвлөе. Жаран насны босгон дээр очоод эргээд нэг хараарай. Тэр тэмдэглэсэн зүйл чинь гайхамшгийг бүтээсэн байх болно.

-Та өөрөө тийм тэмдэглэл хөтөлж байв уу?

-Би ийм зүйл тэмдэглээгүйдээ үнэхээр их харамсаж байна. Бусдыг өөрийнхөө ийм салан замаар явуулахгүйн тулд залуучууддаа эртхэн сануулж хэлж байгаа минь энэ. Та бүхэн Сүхбаатарын талбайн урд байдаг Марко Пологийн хөшөөг мэдэж байгаа. Тэр хүн бол Италиас наашаа ирж Их Монгол Улсад арван долоон жил болсон. Бараг тагнуулын ч чиглэлийн хүн байх. Нутагтаа очоод шоронд орсон. Шоронд ороод хийх юм байхгүй юм чинь монгол оронд байсан тухайгаа бичсэн. Ном гаргасан. Марко Пологийн тэмдэглэл одоо Монголын түүхийн салшгүй нэг хэсэг болсон. Номонд дуртай хүн бүхэн уншсан л байх ёстой. Нэг хүний тэмдэглэл нэг үндэстний түүхийг босгоход хэрэг болж байна. Тиймээс залуучууд маань бүхэл бүтэн нэг том үндэстний нэг том бодгалийн хувьд өөрийгөө үлдээх хэрэгтэй. Бид дал насалж байгаа нь насалж байгаа биш мөнхөрч байгаа юм шүү дээ.

-Яагаад мөнхөрч байгаа гэж. Орчлонгийн жамаар элэгдэж байгаа хэрэг биш үү?

-Хүмүүний энэхэн заяанд төрсөн энэ байгаа үеэ мөнхөрч байгаа гэж ойлгох хэрэгтэй. Нэг л өдөр алга болно. Та бид далан жилийн дараа уулзана гэж болзоо тавиад нэмэргүй. Хэн ч байсан энэ байгаа биеэрээ уулзахгүй. Зуун жилийн дараа бид бүгдээрээ хэн нэгийгээ харахгүй. Тэгэхээр ямар ч байсан мөнх бус гэдгийг ойлгох учиртай. Бид сэтгэж чадаж байгаа бол одоо мөнх байгаа гэдгээ ухаарах ёстой. Би тэгж бодож байна. Тэмдэглэлээ компьютерт найдалгүйгээр гараараа бичих хэрэгтэй. Би агуу их хөгжмийн зохиолч Самбын Гончигсумлаатай арван зургаан жил хамт ажилласан. Цагийнхаа автобусанд суусных нь дараа “Ямар урагшгүй хүн бэ, би” гэж өөртөө харамсаж сууна. Хэлж байсан үг бүхнийг нь тэмдэглэх нь яав аа гэж байна шүү дээ. Хүн гэдэг арван хэдэн жил өнгөрөхөд л аливаа зүйлийг мартаж байна. Тиймээс гайхамшигт бодгаль болсон хүнийг давтах боломжгүй учраас л авьяас гайхамшигтай гэсэн асуудал гарч ирж байгаа юм. Авьяас бол өгөгдөл мөн. Алдарт зохиолч Ванчинбалын Инжанаши “Хүний сэтгэлийг хөдөлгөж эс чадваас хөнгөн бийрийг хөшиж юугаа хийнэ” гэсэн. Хүний сэтгэлийг хөдөлгөж эс чадна гэсэн үг бол хүнийг ямар нэгэн хэмжээгээр ухаарч танина л гэсэн үг. Тэр хүнийг юунд гуних, юунд ухаажих, юунаас айх гэдгийг мэдэхгүй байж зохиол бичиж болохгүй. Тийм учраас манай энэ утга зохиолчид бол агуу их хүн судлаачид, хүн бүтээгчид байдаг. Авьяасыг ухаанаар зодохгүй бол авьяас хүнд очиж наалдахгүй

-Та бол Монголын хөгжмийн урлагийн нэрт зүтгэлтнээс гадна соёлын томоохон төлөөлөгч. Монгол судлал сүүлийн үед гадагшаа хандлагатай боллоо гэцгээх юм?

-Монгол судлалын төвийг Монголоос алдчих вий гэж айх огт хэрэггүй. Хөрс нь Монголд байгаа юм чинь юунаас нь айх юм. Хамгийн гол нь Монголыг л судалж байг л дээ. Хаана ч төвлөрч байсан Монголыг их л судалж байвал сайн биз дээ. Америктаа төвлөрөөд судална уу, Япондоо төвлөрөөд судална уу ямар хамаатай юм. Бидэнд социализмын үеийн сонин сэтгэлгээ байна. Тэр нь харамч зан. Уртын дууг минь харийнхан сурчих вий, манай тийм зүйлийг сурчих вий, судалчих вий гэсэн хармын сэтгэлгээг орхих хэрэгтэй. Монгол хүн хэдий чинээ оюун санааны тусгаар тогтнолтой байх тусам Монголын яруу найраг дэлхийд хөрвүүлэгдэж, Монголын морин хуурыг дэлхий хөгжимдөж, монгол уртын дууг дэлхий дуулсан цагт л монгол үндэстний оюун санааны дархлаа бэхжинэ. Намайг гэхэд Өмнөд монголчуудад уртын дуу заалаа гээд шүүмжлээд байдаг. Нэг өмнөд монгол ирээд уртын дуу заалгах гэхэд нь “Чи хятад биз дээ” гэж хөөгөөд явуулах ёстой юм уу. Энэ хүн чинь монгол шүү дээ. Монгол хүн монгол дуугаа суръя гээд ирэхэд ийм хүйтэн хөндий хандаж болохгүй. Бид социализмын үеийн сэтгэлгээнээсээ салахгүй байгаа бас нэг зүйл бол өөрсдийгөө дандаа бага буурай, жижиг гэж бодох. Хүн бүхэн тэгж боддог. “Монголын утга зохиол Орос, Хятадын утга зохиолд нөлөөлсөн нь” гэж огт бодохгүй. Яагаад бид гаднын соёлд нөлөөлж болдоггүй юм бэ. Тийм муу улс юм уу, бид. Тэр Иранд байгаа үндэсний уран барилгад нь манай Өлзийт хааны оюун санаа орсон зүйл байж л байна. Хүлэгийн улс, Батын улс байж л байсан. Арвангуравдугаар зуунд Монголыг л дуурайна уу гэхээс өөр хэнийг дуурайх юм бэ. Монголын сэтгэлгээ, соёл дэлхийг тэр үед атгаж л байсан биз дээ. Тиймээс залуучууд оюунаа жаахан онгойлгох хэрэгтэй.

-Оюунаа яаж онгойлговол зүгээр бол?

-Монголын үнэртэй бүтээлийг сайтар мэдрэх хэрэгтэй. Дэндэвийн Пүрэвдорж гуайн “Сэгс цагаан богд”, Тангадын Галсан найрагчийн бүтээлүүдийг уншихад монгол, хөдөө амтагдана, мэдрэгдэнэ, үнэртэнэ, хөөе. Одооны найрагчдын шүлгийг уншихад ямар ч үнэр байхгүй юм. Хүн бол боловсруулдаг нэг л тархитай. Таван мэдрэхүйгээрээ сайхан, муухайг ялгана. Үнэртэх, амтлах хоёр нь хэрэглээ болсон. Тиймээс энэ талын урлаг замбараагүй хөгжчихсөн. Үнэртэх урлагийн чиглэлд гэхэд “Шанель”, “Диор” энэ тэр гээд өчнөөн олон брэнд бий болчихсон. Энэ чинь ахуйн хэрэглээнд тулгуурласан мэдрэхүйн эрхтэнд таалагдахын тулд арилжааны урлаг болоод хөгжчихсөн. Амтлах гэхэд тогоочийн урлагийг дурдахад хангалттай. Чих, нүд, мэдрэх гурваа хүн сайн хөгжүүлэх хэрэгтэй. Хөгжимтэй холбоотой бүх урлаг чихээр дамжиж нөгөө л тархинд очно. Дүрслэх урлаг нүдээр дамжина. Мэдрэхүй хэлээр дамжина. Гурил гэж бичихэд гурил л амтагдана. Урлаг гэдгийг нам төртэй холбон ойлгож огт болохгүй.

Төрийн дуулал ч юм уу, намын тухай дуу гэдэг чинь бидний сайн сайхныг ашигласан дээрэм байхгүй юу. Урлаг бол эцсийн эцэст эр, эм хоёр хүний бие биедээ таалагдах, сайхан байх гэсэн харьцаан дээр бий болсон зүйл. Урлагийн түүхэнд ийм зүйл бичсэнийг би олж хараагүй. Гэхдээ би тэгж л боддог. Монгол Улсын төр дэлхийн улс гүрнүүдтэй хөл нийлүүлэн явна, тэгэхдээ сонгодог нүүдлийн соёлоо хадгална гэж яриад байгаагаа амьдрал дээр нотолж хийж бүтээх хэрэгтэй. Хийхэд боломж, гарц бий. Гэхдээ УИХ-ын гишүүн С.Жавхлангийн яриад байгаагаар хийж болохгүй. Өөрөөр хэлбэл, морио уная ч гэдэг юм уу, хог арилгая гэж болохгүй. Жавхлан бол юу бодох ёстой вэ гэхээр морио унуулахын тулд морь унадаг хүмүүсийг урамшуулдаг тогтолцоог бий болгох ёстой. Мотоциклиор мал хариулна гэдэг чинь хамгийн амархан зүйл. Хоёр литр бензинээр зуун километр газар явна. Хамгийн хурдан бүтээл. Яагаад ашиглаж болохгүй гэж. Тэгэхээр яах ёстой вэ гэвэл ямааны ноолуурт урамшуулал олгож болж байгаа юм чинь, тариа тарьдаг хүнд төрөөс урамшуулал олгож байгаа юм чинь эмнэг сургадаг хүнд урамшуулал олгодог болчих хэрэгтэй. Аргал түүдэг, аргал түлдэг айлд урамшуулал олгоод мод түлдэг өрхөд торгууль тавих ёстой байхгүй юу. Сүүгээрээ, нэхийгээрээ бүтээгдэхүүн хийдэг, тэмээгээрээ нүүдэг айлд урамшуулал өгөх ёстой. Монголчуудыг малаас нь салгахгүй гэвэл ийм л арга хэрэглэх учиртай.

Гэрэл зургуудыг Ц.МЯГМАРСҮРЭН

Categories
мэдээ цаг-үе

Тангадын Галсан: Хоёр дахь наран болсон ном хүнийг дотроос нь ариусган гэрэлтүүлдэг

“Өдрийн сонин”-ы 2016.11.29-ний №273, 274 (5538, 5539)

“Номын жор” өдөрлөгт ардын уран зохиолч, соёлын гавьяат зүтгэлтэн, яруу найрагч Тангадын Галсан, соёлын гавьяат зүтгэлтэн, доктор, профессор Лувсандамбын Дашням, төрийн хошой шагналт хөгжмийн зохиолч, ардын жүжигчин Нацагийн Жанцанноров нар оролцож, залуучуудад сургамжтай сэнхрүүлэг үгсээ хайрласан юм. Энэ удаад бид ардын уран зохиолч, эрдэмтэн зохиолч хоёрын энгүүн сайхан яриаг буулган хүргэж байна.


Зээ, гурван ахыгаа эрдэм авьяасын буянт эзэн гэж итгээд, гулууз алтнаас үнэтэй итгэл, сэтгэл, зүтгэл өвөртлүүлж буцаана гэж найдаад, гудам болгон мөсөн гулгуурын талбай болчихсон энэ үед гудиггүйхэн дэрвэлзэж ирсэн халуун итгэлтэй, дулаан сэтгэлтэй дүү нартаа өвгөн ах нь баяр хүргэе. “Номын жор” гэдэг нийгэмлэгээс энэ их эрдэмтэн, Монголын ард түмний байтугай дэлхийн сонорыг дэлдийлгэчихсэн хөгжмийн их зохиолч, феодолизм, социализм хоёрыг залгичихсан одоо капатализмаас мөлжиж байгаа өвгөн бид гурвыг урьсанд талархлаа. Намайг зөөлөн чихтэй гэж барьж аваад эхлээд ярь гэж байгаа юм байна. Би одоо “сайн хадам” болсон шүү дээ. Сайн хадам гэж мэдэх үү. Таг дүлий болчихсон хүнийг сайн хадам гэж байгаа юм шүү дээ. Охин, хүргэн юу болж байгааг сонсохгүй бол сайн хадам. Ор нь цөм цөм үсрээд туранхай банз болж байгааг сонсохгүй бол онц хадам. Орлого, зарлагын тухай юу ч ярихгүй маани уншаад суугаад байвал сайн хадам. Орцонд нь буу тасхийгээд дуугарахад “Хөгшөөн, цаана чинь хүүхэд аяга хагалчихав уу даа” гээд сууж байвал онц хадам. Гэхдээ ч бас ойрын юм сонсоод байна аа. Ингээд номын тухай ярья. Хорвоо хоёр нартай. Нэгдэх нарыг нь бурхан бүтээсэн. Бурхан ч гэж дээ, тэнгэр бүтээсэн. Хоёр дахь нарыг нь хүн ард бүтээсэн. Тэр бүтээгчдийн хамгийн том төлөөлөл нь цөөхөн атлаа олноос дутдаггүй, муухан атлаа сайнаас дорддоггүй манай монголчууд. Худлаа гэхнээ, дорнын их гүн ухаантан, Энэтхэг орныг харийн дарлалаас гаргаж эрх чөлөөт хүчирхэг гүрэн болгосон Жавахарлал Неру гуай “Монголчууд бол дорнын номт таван гүрний нэг мөнөөсөө мөн” гэж миний багш эрдэмтэн Бямбын Ринченд айлдсан байдаг. Ингээд хоёр нарныхаа тухай эргэж ярья. Нэг нар нь бөөрөнхий, нөгөө нар нь дөрвөлжин. Бөөрөнхий нарыг тэнгэр бүтээсэн, дөрвөлжин нарыг нь хүн бүтээсэн. Хүн гурван зиндаатай байдаг. Эрдэнэт хүн, хүн сүрэг, хүн хог гэж байдаг юм. Хүн хог бол ном бүтээж чадахгүй. Гагцхүү өлсөхгүйн тулд цусалж чадна. Даарахгүйн тулд дулаалж чадна. Үр удмаа үлдээхийн тулд цаасан хайрцганд ч үржиж л байна. Хоёр дахь зиндаа нь хүн сүрэг. Сайн, мууг агуулан урсаж байдаг нийгмийн олонхи. Ном бүтээгчид бол эрдэнэт хүмүүн юм. Гачлалтай нь Монголын ном бүтээгчдийг, энэ дэлхийг төрт ёсонд оруулж өгсөн бидний дээдсийг “Хар феодалаа устга” гээд хүний тоолонгоор сум ирүүлж хядуулаад дуусгачихсан. Тэд бол “Монголын нууц товчоо”-г зохиогчид байсан. Номт лам нарыг “Шар феодалаа устга” гээд устгуулчихсан. Лам нар маань дөрвөн уулын дунд таван хэлийн мэргэд байсан. Аз болоход одоо Монгол минь ном бүтээгчидтэй болсон. Хоёр нар хоёулаа адилхан ачтай. Нэг нь болохоор дэлхийг байтугай бүхэл бүтэн нарны аймгийг гэрэлтүүлж, гадна талаас илч дулаанаараа ивгээж байдаг. Харин хоёр дахь наран болохоор хүнийг дотроос нь гэрэлтүүлж ариусгаж байдаг. Энэ бол эрдэнэт хүний бүтээсэн хоёр дахь нар-ном юм. Энэ сэдвээр ач зээ шигээ хүүхдүүд та нарт сэнхрүүлэг хийх болжээ. Би түрүүн өөрийгөө феодализмын үлдэгдэл гэж хэлсэн. “Феодализмын үед Монгол бол мал дагасан малууд байсан, малаасаа ялгаатай нь дээлийнх нь үс дотогшоо, малынх нь үс гадагшаа байсан” гэж манайхныг мичилдэг. Үнэндээ Монголын хүн амын наян хувь нь лам байсан гэдэг нь наян хувь нь сэхээтэн байсан гэсэн үг. Түвд, жагар хэлээр ном айлддаг байсан гээд бодохоор Монголын хүн амын наян хувь нь гэхэд юу юм бэ, тавин хувь нь эх хэлээсээ гадна харь хэл мэддэг, дорнын гүн ухаан, таван ухаан, анагаах ухаан, зурхайн ухааныг бүгдийг мэддэг, ая эгшиглэнгийн гайхамшгийг мэдэрдэг, тэр хэрээрээ хүн төрөлхтөнд байхгүй уртын дууг уянгалуулж бор гэрээсээ бүхэл бүтэн сансарт цойлоод ертөнцийг эргэдэг ийм л ахуйд би төрж өссөн. Манайд хэдэн судар байлаа. Орой болохоор аав, ээж минь тэврүүлэн гэр гороолуулдаг байсан. Гороолж явахдаа “Мэгмид зээвий дэрчин Жанрайсиг Дэмид чинбий ванбай Жамбиян…” гээд мэгзэм уншдаг. Юу уншиж байгаагаа мэдэхгүй ч өөрийнх нь удмын хүүхэд ой тогтоолт буюу тархины бичлэг сайтай юм уу гэдгийг мэдүүлэхээс гадна орчлонгийн жамаар гурав гороолчихдог болгох, унтахынхаа өмнө цэвэр цэнгэг ариун агаарт хүүхдээ нэг гаргачих, юу эс байсан юм бэ дээ. Гэтэл нэг удаа аав минь хурал хуйд явж ирэнгүүтээ бурхан тахил, ном судраа бүгдийг хамж аваад тулгандаа хийтэл тооно долоон дүрэлзсэн их түймэр манай гэрт авалцав. Есөн эрдэнээр бичсэн судар есөн өнгөөр солонгорон, гэрэлтэн, бичиг нь уйлан, урсан дуусдаг юм билээ. Тэрийг би үзэж байсан. Ээж яаж уйлж чарлан, дүү бид даган енгэнэж, манай гэрт ямар том эмгэнэл болж байсныг одоо би хааяа хар даран зүүдэлдэг юм. Тэр бол жаахан бага улс харийн эрхшээлд орсон үед үзэл суртлын үл үзэгдэх сэлэм айл бүрийн гэрт байсан аугаа өв соёл, үүх түүхийг устгадаг байсны гэрч байжээ гэж боддог юм. Сургуульд орохдоо, ёстой л Нацагдорж их зохиолчийн бичсэнээр “хөмөрсөн тогооны доторх хонин хорхой шиг” амьтан байснаа би сайн санаж байна. Сургууль явж байх замд тэртээ дэнж дээр олон майхан харагдлаа.

-Аав аа, ямар олон майхан бэ? гэсэн чинь

-Майхан гэдэггүй юм аа, миний хүү. Байшин гэдэг юм аа гэж билээ. Тэр үед би машин огт хараагүй байлаа.

-Аав аа, тэр үхэр тэргүүд яасан хурдан юм бэ гэвэл аав,

-Үхэр тэрэг биш ээ машин гэлээ. Зам дээр тойгон чинээ чулуу, төө хүрэхгүй мод хэлхээтэй хэвтэхийг үзээд

-Аав аа, тэнд хөөрхөн тоглоом байна гэтэл аав мориноосоо буун харайж тэр тоглоомоор миний духан дээр адислаад:

-За, миний хүү ном сурахаар явах замдаа харандаа, баллуур хоёр оллоо. Миний хүү харандаа, баллуур хоёр элээж явах хүү байна гэсэн сэн. Ийм эргүү мунхгийн бэтигтэй, соёлтой атлаа бүдүүлэг харанхуй орчинд би өссөн. Тэгэхэд миний хэнз балчир тархи, зүрх хоёрт Монгол ардын өчнөөн арван үлгэр, Монгол Жангарын эсэг бусаг бүлгүүд байжээ гэж бодохоор тийм ч хоёр хөлтэй хорхой байгаагүй бололтой. Ингээд сургуульд ороод номын бараа харж ботго байгаа хуудсыг харж байгаад “Унага” шүлэг унших жишээтэй, тархины ой тогтоолт сайтай, харааны тогтоолт байхгүй ийм л хүүхэд байсан юм билээ. Тийм хоёр хөлт адгуусыг ардын багш л хүн болгож өгсөн юм. Монголчууд “Багш дор мөргөмүү, ном дор мөргөмүү, Бурхан дор мөргөмүү” гэдгийн учир тэр биз ээ. Эргүү хурга байсан Галсанг өдий зэрэгт хүргэсэн Баянхонгор аймгийн Заг сумын бага сургуулийн Бат хэмээх багшийн минь сүнс одоо баярлаж байгаа байх аа.

Даяаршил нэртэй золбиншил, дангааршил нэртэй зожигролын үед монгол үндэстэн үлдье гэвэл амин сүнс болсон хэл, үндэсний соёл, бичгээ нандигнан дээдлэх учиртай. Энэ бүхэн үгүй бол далай шиг их усан дунд халбага цасан чихэр цацсан шиг Монгол оршин тогтнох аргагүй болно. Ийм учраас эзэн Чингисийн Монгол эрлийз монгол болох учиргүй. Энэ дэлхийг хоёр удаа төрт ёсонд оруулж явахдаа дэлхий дээр байгаа бүх амьтан, ургамал, шинжлэх ухааны ололтыг монголоороо нэрлээд авчихсан өвөг дээдсийн суу алдарт биширмээр. Тэрийгээ бичигтээ дархалчихсан ард түмэн бол монголчууд. Манай Баянхонгорын Загийн голын унаган хүү, Гэгээрлийн сайд Цэндийн Баттөмөрийг “Гадаадаас гуйхгүй ганцхан юм Монголд бий” гэсний төлөө Шар хөвийн дөрөлжийн тэнд өөрөөр нь газрыг нь ухуулан буудаад булсан. Төрсөн дүүг нь Гэгээрлийн сайдаар тохоон томилсон. Төрсөн ахынхаа барьж байсан тамгыг бариад, ширээн дээр сууж байхдаа хүн юу эсийг бодох бол. Монголын өв соёлыг харийнхан ингэж л устгаж байсан. Үүнийг би хүүхдүүд та нарт хэлэхгүй юм бол энд би цол гуншингаа дуудуулан суухын хэрэг юу билээ. Тархинд чинь Мандухай, Чингисийн ухаан бүрэлдсэн бол, зүрхэнд чинь тэр дээдсийн цус гүйж байгаа нь үнэн бол ээжийнхээ сүүтэй цуг хөхсөн эх хэлээ битгий эрлийз хэл болгооч гэж гуйя. Үгийн дунд нэг харь үг ороход л сүүнд угаадас нэмэх шиг санагддаг юм. Харь хэлийг сайн сурах хэрэгтэй. Үг хэл, өмсөж зүүсэн хувцас, үзэл санаагаараа Монголоо аварч байсан миний багш Б.Ринчен “Эрдэм сурахад харийн хэл бол ээх нарны гэрэлтэй” гэж захисан юм шүү. Эх хэлээ хэдий чинээ сайн сурсан хүн харийн хэлийг төдий чинээ сайн сурдаг. Ойрмогхоны нэг жишээ хэлье. 1989 онд намайг Ховдын Багшийн дээд сургууль дээр очиж уулзалт хийхэд таарч байсан яруу найрагч Дашзэгвийн Мөнхтөр Туркт очоод барууны орны гурван хэлийг сураад ирсэн байна. “Туркийн яруу найргийн дээжис” гэдэг гайхамшигтай ботийн нэгдүгээр ботийг гаргасан байна. Эрдэм сурахад, хэл сурахад хожууддаггүй юм байна шүү. Багшийн их сургууль дүүргэсэн Саруул-Эрдэнэ хүү өнөөдөр Индианагийн их сургууль, тэр байтугай АНУ-ын Төрийн департаментын монгол хэлний багш болоод явж байна. Ийм сайхан жишээ олон. Хэрвээ чи нагацтай, авгатай бол эрдэмд шамд гэсэн энэ үгийг Галсан гуайгаар дамжуулан хэлж байна даа гэж бодоорой. Алдарт биш авьяаст шүтэж ном унш. Уншина гэдэг үсгийн мангас болохын нэр биш. Ингэж хэлж болдог оо гэж гайхан биширмээр бадаг, мөр болгоны доогуур зурж байгаад унш. Номын агуулгыг, бүтэн романыг таван хуудсанд багтаан тэмдэглэж чадвал чи үе тэнгийнхнээсээ хоёр толгой илүү, өгүүлэх чадвараараа хэний ч урд доогийн бай болохооргүй болно. Уншсан, цээжилсэн хоёроо үеийн нөхдөдөө ярь. Энэ бол агуу суут хүмүүсийг ахин амилуулах арга. Их хувьд зарагдах, их үйлд дарагдах хоёр л хүн өөрөө шавар шиг байснаа чулуун шуумал шиг шовойн гарч ирэхийн үлгэр болно.

ЛУВСАНДАМБЫН ДАШНЯМ: ОРДОСЫН ҮЗЭСГЭЛЭН АГУУ МУНДАГЧ ЧИНГИС ЗОГСОЖ БАЙСАН ГЭХ ГАЗАР НЬ ТЭНД ҮГҮЙ ЮМ ДАА

Ийм олон номонд дуртай, хайртай залуучууд ирээд энэ танхимыг дүүргэсэн байгааг харахад үнэхээр сэтгэл хөдөлж байна. Залуучуудаа бид юу юугүй элдэв муу үгээр булах хэрэггүй юм байна. Та нар маань ямар сайхан бодол сэтгэлтэй болсон юм бэ. Номын тухайд бид өлгийд байгаа юм шиг бодож болмоор санагдаж байна. Бид энэ хорвоо дэлхийд аав ээжээс эхлээд байгаль дэлхий, орчлонт ертөнц, ном заалгадаг багш нар гээд маш олон багштай. Тэр олон багшийн дотроос хамгийн гайхамшигтай нь ном гэж би боддог. Ном гэдэг багш маань миний хажууд сууж буй Тангадын Галсан гуайгаас хэцүү багш. Ном ярьдаг юмаа ярина, хэлдэг юмаа л хэлнэ. Асуугаад чиг хэлэхгүй. Тэр багшийг ойлгодог, үгийг нь хэлүүлдэг зүйл болох ном унших эрдмийг бид өөрсөндөө бий болгох ёстой. Ном унших эрдмийг өөртөө бага багаар бий болгож байгаад номонд шохоорхож, дуршиж, дурлаж, номгүй бол нэг юм дутаад байгаа юм шиг болсон хүн бол гайхамшигт ертөнцийг өөртөө нээжээ гэсэн үг. Номоос бид их олон юм авна. Суралцаж буй оюутан залуус голдуу мэдлэг авч байгаа байх. Судлаачдын үзэж байгаагаар мэдлэг бол түвшний хувьд янз бүр. Ердийн буюу доод түвшний мэдлэг, хэдэн мянган жилд хураагдчихсан амьдралын буюу практик мэдлэг, дээр нь шинжлэх ухааны мэдлэг гэж янз бүр байна. Шинжлэх ухааны мэдлэг гэдэг нь нотлогдсон, нэг хонхрын, нэг улс орны биш дэлхий даяарт хүн төрөлхтөнд түгж, буян дэлгэрч байдаг зүйл. Шинжлэх ухааны мэдлэгийг авъя гэж нэг хэсэг нь номонд ороод шамдаж байгаа. Номыг бүтээж байгаа улс янз бүр. Ер нь хэн ч байсан бүгд л ном бүтээж чадна.

Эртний гүн ухаантан Платон өөрөө ном бичсэнгүй. Шавь нар нь Платоны үгийг бичээд дамжуулахаар Платоны ном болдог. Күнз шиг хүн төрөлхтний түүхэнд агуу эрдэмтэн ховор. Гэхдээ Күнз өөрийн гараар ном бичсэнгүй. Күнзийн шавь нар үгийг нь ном болголоо. Бидний сайн мэдэх монгол судлаач профессор Поппе “Монгол хэлний сурах бичиг”-ийг бас өөрөө бичсэнгүй. Нэг өдөр шавь нь “Багш аа, таны уншдаг лекцийг миний тэмдэглэсэн энэ” гэж авчирснаар ном болгож гаргасан. Тэгэхээр бидний хэн нь ч л “Аавын маань хэлдэг байсан үг” гээд бичээд байхад нэг ном, “Ээжийн минь захидаг байсан үгс” гээд бичээд байхад нэг ном, “Надад хайртай байсан залуугийн хааяа уулзахдаа хэлдэг үг” гээд тэмдэглээд байхад дахиад нэг ном болчих юм. Энэ номууд эргээд өөрөө эрдэнэс болж, оюуны баялаг болон хувирч байгаа юм. Бид өнөөдөр баялгийг бүтээх, оюуныг тэмдэглэн үлдээх яриа руу л явж явж орж байна шүү дээ. Монгол түмэн бол онцгой үндэстэн. Бид өөрсдөө омогшоод, “Хүн төрөлхтнөөс хамгийн мундаг нь монголчууд” гэж боддог шиг байгаа юм. Заримдаа тэр үнэн, заримдаа тэр худлаа. Хүн үүссэн цагаас эхлээд хэдэн сая, мянган жил зогсолтгүй гишгэсэн газар бол монгол нутаг мөн. Бид Алтай соёлын хүмүүс шүү дээ. Алтай соёлыг хамгийн их уламжилж, хөгжүүлж, оюуны баялаг болгож байгаа хүмүүс бол монголчууд. Монгол хот суурин нэг их босгосонгүй, түүх үзэхэд босгосноо ч нурааж байж. Тариалан тарьж гишгэх газаргүй болтлоо газраа хагалсангүй. Тийм учраас хүн төрөлхтний түүхийн архив нь Монгол орон юм. Архив нь хадандаа, модондоо, хөрсөндөө, эртний түүхийг хадгалсан орон өнөөдөр Монгол болж байна. Бид үүнийгээ мэдэх тусмаа, энэ тухай бичсэн мэргэдийн номыг унших тусмаа оюун нь баян болж, ухаан нь тэнийж, хийж бүтээхийн хүслэн ундардаг байх. Ном гэдэг гайхамшиг юм.

Манай оюутан залуус “Багш аа онгод гэж юу юм бэ, авьяас гэж юу вэ” гэж их асуудаг. Би шавь нартаа “Авьяасыг мэдээд, онгодыг мэдэж яах гээд байгаа юм бэ” гэж асуудаг. “Мэдээд авчихъя л даа” гэж намайг шална. Авьяас, онгод гэдэг мэдэнгүүт гараад ирдэг юм биш. Ер нь аливаа хийсвэр ойлголтыг биежүүлж байж л юм гэдгийг нь мэддэг. Юмыг хийсээр байж л танигдана. Бусдаас онцгой байж чадах нь уу, хүний хийгээгүйг хийж чадах нь уу гэдэг нь шалгарсаар авьяастай, авьяасгүй нь тодордог. Марко Поло гэхэд шоронд байхдаа Их Монгол Улсад очсоноо ярьж бичүүлсээр түүхэнд үлдсэн юм. “Залуу гварди” хэвлэлийн 50 жилийн ойгоор би очсон юм. Орон бүхэн номын үзэсгэлэн гаргаж. Тэндээс би ном гэдэг чинь зүгээр нэг бодсон тэмдэглэснээ хавтаслаад гаргаад тавьчихдаг эд биш байна. Нэгэнт л хүнд хүртээл болгож байгаа юм чинь гадна талаасаа ч сайхан зүйл байх ёстой юм байна гэж бодсон шүү. Тэмдэглэлийн дэвтрийн шүлэг, халаасны шүлэг гэдэг нэг хэрэг юм. Түмэн олонд хүргэхэд учиртай юм байна лээ. Өнөөдөр би Галсан гуайдаа “Байх, байх үгүйн дунд” гэдэг номоо өргөн барьсандаа баяртай байна. Би дэлгүүрт номоо тэгтлээ их тавьдаггүй. Юунд баярлаж байна гэхээр энэ хүн миний номыг тоосонд даруулчих, юу бичсэнийг нь мэдэхгүй орхичих хүн биш. Номыг олон жилийн дараа харахаар дурсамж юм шиг санагдаж байна. Манай нэрт соён гэгээрүүлэгч, их гүүш Мишигийн Цэдэндорж гуай ном унших гайхамшигтай жортой хүн байсан. Тухайн номыг уншаад тэмдэглэсэн, хажууд нь зурсан, санаагаа бичсэн зүйлс бол үнэхээр гайхамшигтай.

Монгол орон, бидний туулсан түүх байгаа цагт монгол судлал байх болно. Ер нь монгол судлал гэхээр Монгол Улсын хэмжээнд ойлгож болохгүй. Дэлхийд монголчууд Хүннү нарын хүрч нутаглаж байсан тэр нутагт л тархан амьдарч байна. Дэлхийд монгол судлал маш их хөгжиж байгаа. Монголын түүхийг үзэхгүйгээр дэлхийн түүх бүтэн болохгүй. Эдийн засгийн сонирхлоор монгол хэл, соёлыг бас их судалж байна. “Вашингтон пост” сонины нэрлэсэн “Мянганы суут хүн” Чингис хаанаас улбаалж Монголын түүхийг судалж байна. Ерээд оноос хойш гэхэд Чингис хааны тухай янз бүрийн хэлээр зуу гаруй ном зохиогдсон байна. Чингис хааны юу нь агуу вэ гэхээр маш цөөхүүлээ байтлаа дэлхийн их гүрнийг байгуулж чадсан. Гуравхан сая монголчуудаас дэлхийн ямар нэг уралдаан тэмцээнд очоод түрүүлээд байдаг нууц нь юундаа байна гээд судалгааны үзүүрт байж байна. Тэглээ бид “Намайг ирээд судал” гээд зүлгэн дээр зүгээр хэвтээд байж болохгүй. Өөрсдөө ч монгол ахуйд төрж өссөн монгол хүн учраас Монголоо өнгөрсөн, одоотой нь судлах ёстой. Бидний монголчуудын тэн хагас хилийн өмнө байна. Газар нутгийн ихэнх нь үлдчихсэн тэнд бас Монголоо судалж байна. Ордост “Монголын нууц товчоо”-ны бүх үйл явдлаар дэглэсэн, бүтэн дөрвөн цаг явж байж үзэж дуусгадаг үзэсгэлэн байгаа. Ордосын шавь нар маань “Агуу мундаг байгаа биз” гэж асууж байсан. “Агуу мундаг байна. Чингис маань энд зогсож байсан гэх газар үгүй юм даа” гэж би хариулсан. Чингисийн төрж өссөн, гишгэж байсан газар нь харин энд байгаа шүү. Чингис хааны үед монгол морины хурдаар дэлхийн талыг байлдан дагуулсан гэх үзэл бий.

Хурд гэдэг ч яг хөгжлийн түлхүүр биш юм аа. Желаль Аддин ч хурдан мориороо манайханд гүйцэгдэхгүй зугтчихаа биз дээ. Одоогийн энэ хурдан араб адуунууд чинь тэр үед тэдэнд байсан. Хэтэрхий хурданд бас юм бүдэгрээд алга болчихдог. Солонгын долоон өнгийг маш хурдан эргүүлэхэд л ганцхан цагаан өнгө болж харагддаг. Хүний амьдралын утга учир бол ертөнцийг улам сайн сайхан болгох. Улам сайн сайхан болгохын тулд хүн төрөлхтөн хэдэн зуун мянганаар зүтгэсээр ирсэн. Бидний уламжлал гэдэг чинь бүх юмыг хадгалахыг хэлээгүй. Сайныг нь аваад цагаа элээсний нь орхихыг л уламжлал гэж байгаа юм. Юуг нь орхих вэ гэдэг нь л чухал. Сайн сайхнаа хадгалах хэрэгтэй. Уламжлалаа хадгалж байна гээд боловсон дулаан газарт азарган үстэй дээл өмсөцгөөчихөөд хөлсөө гоожуулан суугаад байвал утгагүй биз дээ. Монгол гэдэг сэтгэлгээний дархлаагаа авч үлдэх хэрэгтэй. Хүн төрөлхтөн сайн, муугийн тухай одоо хүртэл маргалдаад л, хэлэлцээд л байгаа. Үүнээсээ болоод хүн төрөлхтөн жаргал гээч юмыг бүх хүн нэг мөртөө тодорхойлж чадаагүй л байгаа. Тэглээ ч бид сайн юмыг мэдэж л байх ёстой. Сайн ном бол маш олон хүний сайн, сонгодог гэж үзсэн номуудыг л хэлж байгаа юм. Тэр сайныг өөрийнхөө зорилгод ойртуулж чадвал гайхамшгийг бүтээж чадна.

Categories
мэдээ цаг-үе

Лхагвын Отгонцэцэг: Найрал дууны “Ундарга” хамтлагийнхан маань Улаан-Үдээс гранпри хүртэж ирсэн

“Нийслэлийн багш-бидний бахархал” хүндэтгэл ёслолын ажиллагаа өчигдөр Монголын хүүхдийн ордонд болж нийслэлийн боловсролын салбарын түүчээ манлайлал болсон 700 гаруй багш, сурган хүмүүжүүлэгч оролцож, “Багшийн эрхэмлэх зүйл”-тэй болсноо зарлан төрийн алтан соёмбот далбаандаа хүндэтгэл үзүүлсэн юм. Энэ үеэр нийслэлийн боловсролын шилдэг ажилтан цол, тэмдгийн эзнээр тодорсон Баянгол дүүргийн “Эрдмийн өргөө” цогцолбор сургуулийн хөгжмийн багш Лхагвын Отгонцэцэгтэй уулзаж ярилцлаа.


-Та хөгжмийн багшийн мэргэжил хаана суралцаж эзэмшив?

-Би 1992 онд Багшийн сургуулийг хөгжмийн багш мэргэжлээр суралцаж төгссөн. Боловсролын салбарт ажиллаад арван зургаан жилийн нүүр үзэж байна. БСШУСЯ-ны лаборатори сургууль “Эрдмийн өргөө” цогцолборт хөгжмийн багшаар тав дахь жилдээ ажиллаж байгаа. Манай сургууль чинь аравдугаар хорооллын 43, 56 дугаар сургуулиас бүрдсэн цогцолбор шүү дээ.

-Хөгжмийн ангид хэн хэнтэй хамт суралцаж байв?

-Продюсер Н.Халиун, Арзаак хэмээх С.Төрбат, соёлын тэргүүний ажилтан, дуучин Л.Байзада, Батболд нарын Монголын хөгжмийн урлагийн нэгэн үеийн төлөөлөлтэй хамт суралцаж төгссөндөө баяртай байдаг. Манай доод ангид урлагийн гавьяат зүтгэлтэн Т.Сэр-Од, соёлын тэргүүний ажилтан, хөгжмийн зохиолч П.Ганбат, ая зохиогч, дуучин Норовын Баасандорж нар суралцаж байсан.

-Дунд сургуульд дуу-хөгжмийн хичээлийг хэддүгээр ангийнханд зааж байна?

-Хөгжмийн боловсролын хичээлийг нэгээс есдүгээр ангид ордог болсон. Миний хувьд зургаагаас есдүгээр ангийнханд хичээл зааж байгаа. Бага ангийнханд долоо хоногт хоёр цаг, дунд ангийнханд нэг цаг ордог.

-Арваад жил багшлахдаа олон сайхан шавиа урлагийн харгуйд замчилсан биз?

-Би нийслэлийн Сонгинохайрхан дүүргийн нэгдүгээр хороололд байдаг Төмөрбаатар захиралтай 36 дугаар сургуулиас ажил хөдөлмөрийн гараагаа эхэлж байлаа. Бүжигчин шавь нар олон байгаа. Тэр сургуулийг “Guys 666” хамтлагийн гишүүн Төгсөө маань төгссөн. Анх эстрадын бяцхан дуулаачдын уралдаанд оролцоод явж байсан жаахан хүү одоо Монголын рок, попын ертөнцөд од болоод гялалзаж явна.

-Багшлах хугацаандаа хэд орчим хүүхдэд дуу хөгжмийн боловсрол олгосон байх юм?

-Ангиар нь тоолбол олон анги бий. Манай “Эрдмийн өргөө” чинь цогцолбор сургууль болохоор одоо би 976 хүүхдэд хичээл зааж байна. Манай сургуулийн онцлог гэвэл найрал дууны дугуйлан хичээллүүлдэг. Найрал дууны сурагчид маань сүүлийн таван жилд олон сайхан амжилтын эзэд болсон. Найрал дуугаараа дүүргийн дөрвөн удаагийн аварга, Улаанбаатар хотын найрал дууны уралдааны тусгай, нэг, хоёр, гуравдугаар байрын шагналыг жил дараалан авлаа. ОХУ-ын Буриадын Улаан-Үд хотноо дөрвөн жилд нэг удаа зохиогддог “Поющее детство” олон улсын найрал дууны уралдаанд энэ оны дөрөвдүгээр сард очин оролцож гран при шагналыг авчирсан. Энэ амжилт бол Байгальмаа захиралтай “Эрдмийн өргөө” сургуулийнхны чин сэтгэл, зүтгэлийн ач юм даа. Хичээл зүтгэлийг минь үнэлж анхны “Нийслэлийн боловсролын шилдэг ажилтан” шагналаар шагнасанд баярлаж байна.

-Та ингэхэд яг ямар хөгжмөөр дагнан суралцсан хүн билээ?

-Биднийг суралцаж байх үед хөгжмийн багш хүн юу чадах ёстой байдаг юм, тэр бүх хичээлийг заадаг байлаа. Бүжиг, бүх хөгжмийг заалгасан. Ямар ч үед аль ч хөгжмийг тоглох чадвартай багш нар байх ёстой гэсэн бодлого ч байсан байх. Хөгжмийн удирдаач, найрал дуу, бүжиг, төгөлдөр хуур, баян хуур, ардын хөгжим гээд бүгдийг бидэнд заасан. Агуу их багш нарынхаа зааж сургасны хүчинд л бид өдий зэрэгтэй ажиллаж, амьдарч явна даа.

-Та багш нарынхаа тухай яриач?

-Миний дунд сургуулийн багш бол Архангай аймгийн Цэнхэр сумын дуу хөгжмийн багш Д.Дашцэдэн маань байна. Анги удирдсан энэ багш маань бидэнд маш их өгөөжөө өгсөн. Багшийн сургуульд элсэн ороход ангийн багш Баярсайхан биднийг хүлээж авсан. Монголын урлагийн алтан үеийн од гэмээр урлагийн гавьяат зүтгэлтэн, хөгжмийн зохиолч Сангидорж, дуучин Галхүү, Бүдхүү, урлагийн гавьяат зүтгэлтэн, хөгжмийн зохиолч Бямбабаяр, хөгжмийн зохиолч Мөнхбат, урлагийн гавьяат зүтгэлтэн Д.Цэцэрлэг нараар хичээл заалгасан бид яагаад ч муу байхын аргагүй шавь нар нь юм. Тиймээс бид энэ сайхан багш нараа үеийн үед шүтэн биширч явна.

-Та өөрийн гэсэн бүтээлтэй юу?

-Яг тухайлсан бүтээлгүй ч найрал дууны олон хөг аялгууг түмний хүүхдүүдийн авьяасыг хөгжүүлчих юмсан гэж зааж сурган хичээн ажиллаж байна.

-Манай боловсролын салбар бол их савлагаатай бодлоготой болчихсон нь нууц биш. Хөгжмийн боловсролын хичээлд энэ аль хэр нөлөөлж байна?

-Ерөнхийдөө бодлого өөрчлөгдөж л байдаг. Орчин үетэйгээ хөл нийлүүлж алхахын тулд сургалтын чиг хандлага ч өөрчлөгдөж байна. Хүүхэд бүрийг хөгжүүлэх гэдэг зүйл их өгөөжөө өгч байгаа. Урьд нь урлагийн үзлэг боллоо гэхэд ганцхан хүүхэд л дуулж, бүжиглэн, хөгжимддөг байсан бол одоо хүүхэд нэг бүрийн оролцоог чухалчлах болсон. Манай сургууль “Багш аа, надад авьяас бий” уралдааныг гурван жил дараалан зохион байгуулсан. Бүх сурагч энэ тэмцээнд оролцдог. Ноднин жил “Улаанбаатар палас”-ын концертын их танхимд 3754 хүүхдийн тоглолтыг амжилттай зохион байгуулсан. Монгол Улсад анх удаа ерөнхий боловсролын сургуулийн сурагчид ийм тоглолт хийсэн дээ.

-Өнгөрөгч зууны наяад оны сурагчид урлагийн үзлэг болохоор “Дуулуулчих вий, тайзан дээр дуудаад гаргачих вий” гэж их эмээцгээдэг байлаа. Одооны хүүхдүүдийн хандлага ямаршуухан байна?

-Тэр үеийн сурагчид, одооны хүүхдүүд хоёрын хандлага их ялгаатай. Өнөөгийн хүүхдүүд өөрсдийгөө илэрхийлэх талаар маш их хөгжсөн. Тайзан дээр гарч биеэ авч явж сурсан байна. Бидний үед хэчнээн зөв зүйтэй зүйл байсан ч багшаас айдаг байсан бол одоо “Багш аа, энэ чинь ийм, тэр чинь тийм” гэж хэлэх чадвартай болсон.

-Таны шавь нар дотор найрал дуунаас гадна ямар авьяастнууд байгаа бол?

-Манай сургуулийг энэ хавар төгссөн Мэндсайхан гэж мундаг хүү байгаа. “Чонын алтан шагай” уран сайхны кинонд тоглосон. Энэ хүү сурагч солилцооны хөтөлбөрт хамрагдан хөдөө сурч, аж төрж байлаа. Мэндсайхан миний удирддаг найрал дууны дугуйланд долоон жил сурсан. Наранцогтын Мөнхшүр гэдэг охин гэхэд “UBS” телевизээс зохион байгуулдаг “Universе best songs” тэмцээний шилдэг арван зургаан оролцогчийн нэг болсон. Манай сургуулийн “Гоо үжин” бүжгийн клубт долоон жил хичээллэсэн хүү бүжгийн Дэлхийн аварга шалгаруулах тэмцээнд одоо явах гээд бэлтгэлээ базааж байна. Дэлгэрнасан багшийн удирдлаганд морин хуурын наян хүүхдийн чуулга хичээллэж байна. Дэлгэрнасан багшийг Завхан аймгаас олон морин хуурч хүүхэд төрүүлсэн мундаг хүн гэдгийг Монголын ард түмэн сайн мэднэ. Манай сургуулийн морин хуурын хүүхдийн чуулгынхан гурав дахь жилдээ хичээллэж байгаа гэхэд маш гайхамшигтай тоглолт хийж байсан.

Таны хүүхдүүд урлагийн авьяастай юу?

-Ууган хүү Чулуунтулга маань ШУТИС-ийг барилгын инженер мэргэжлээр төгссөн. Бага хүү Мөнхтулга маань арванхоёрдугаар ангийн сурагч. “Загасчны морь усгүй” гэдэг шиг хоёр хүүгээ урлагийн ямар нэгэн төрөлд ойртуулж чадаагүй. Түмний хүүхдийг болохоор загнаад л сургадаг байтлаа өөрийнхөө хүүхдүүдийг “Хариад хичээлээ давт” гэсээр байгаад хүүхдүүддээ урлагийн боловсрол олгож чадаагүй хүн шүү (инээв. сур)

-Архангайн Цэнхэр сумаас урлагийн одод олон төрсөн үү?

-Цэнхэр сум маань маш сайхан байгальтай нутаг. Төрийн тахилгат Суварга хайрхан байдаг. Арын сайхан хангай нутгийг бөхчүүдийн өлгий нутаг гэж Монгол даяараа мэднэ. Манай сумаас харин ардын жүжигчин Самбуу гуай төрөн гарсан. Эгч нь Дэнсмаа гэж мундаг хөгжмийн зохиолч байдаг. Эднийхэн удмаараа урлагийн гайхамшигтай хүмүүс. Манай аав, ээжтэй бараг л хамаатан садан шахуу тийм ойр нэг нутгийнхан.

-Таны аав, ээж юу хийдэг хүмүүс байв?

-Миний аавыг “Доголон” Лхагва гэхээр нутгийнхан андахгүй байх. Хөл нь тааруухан байсан ч цээж их бяртай хүн байсан. Ямар ч бүдүүн эмнэг адууг чихдэж аваад толгойг нь газарт хүртэл дардаг эрэмгий хүн байсан гэж хөгшчүүл гайхдаг юм билээ.

-Ингэхэд цалин цуух аль хэр авч байна гээд асуучихвал хэтэвч рүү чинь өнгийчихсөн хэрэг болохгүй байх. Амьдралд хүрэлцэх юм уу?

-Багш нар ямаршуухан цалинтай хүмүүс гэдгийг та мэдэх байлгүй. Таван зуун мянган төгрөгийн л цалинтай улс. Амьдрал ахуйд хүрэлцээтэй юү, үгүй юү гэдэг асуудалтай шүү дээ. Зэргийн нэмэгдэл, илүү цагийн хөлс гээд жаахан юм авна. Багш нарын цалин амьдралд яагаад ч хүрэлцэхгүй. Гэхдээ үнэн сэтгэлээсээ, түмний хүүхдийн төлөө л ажиллаж байна. Сайхан хамт олонтой байна гэдэг л багш нарын хамгийн том шагнал. Хамт олонгүйгээр ажил, амьдралаа яагаад ч төсөөлж чадахгүй шүү дээ. Өнөөдөр би шагнал гардлаа гэхэд цэцэг аваад зогсож байна гэдэг чинь хамт олны маань л сайхан сэтгэлийн илрэл биз дээ. Жаргал, зовлон, уралдаан тэмцээн алив бүхэнд тусалж дэмждэг ийм хамт олонтойдоо баярлаж явдаг. Найрал дууны “Ундарга” хамтлагийн тоглолтыг “Корпорайт”-д хийхэд чин сэтгэлээсээ тусалсан сурагчдынхаа эцэг эхчүүдэд маш их талархсанаа хэлье дээ.

Categories
мэдээ цаг-үе

Гэндэнгийн Дашчирэв: Сэгс цагаан Богд уул бол амьдын диваажин болсон түүхт нутаг

“Говийн гайхамшигт-6” уран бүтээлчдийн нэгдсэн аялал өнгөрөгч сард Говь-Алтай, Баянхонгор аймгийн нутаг, Алтайн өвөр говиор арваад хоног үргэлжилсэн. Аяны замд дөрөө харшсан настан маань Баянхонгор аймгийн Шинэжинст сумын цаг уурчаар насаараа ажилласан Байгаль орчны болон Хүнс, хөдөө аж ахуйн тэргүүний ажилтан Гэндэнгийн Дашчирэв гуай. Шинэжинст сумандаа баялаг үзмэртэй гэр музей байгуулсан эл эрхмийг МУИС-ийн профессор Р.Самъяа “Нутаг орныхоо байгаль, түүх, хүн-байгалийн ариун дагшин холбооны амьд нэвтэрхий толь” хэмээсэн нь чухам голыг олсон дүгнэлт байлаа. Түүнтэй аян замын турш хөөрөлдсөнөө толилуулъя.


-Тантай Сэгс цагаан богд уулын тухай сайхан хөөрөлдье гэж бодлоо?

-Манай Шинэжинст суманд Богд нэртэй нэг л уул байгаа нь Монгол даяар алдаршсан Сэгс цагаан Богд уул. Монгол Улсын өмнөд хилээс дотогш есөн км-т сүмбэрлэх энэ уул түмэн үеийн түүхийн гэрч, монголчуудын оюун санааны шүтээн хайрхдын нэг. Манай нутгийн хамгийн өндөр хайрхан Залаажинст далайн түвшнээс дээш 2557 метр өндөрт өргөгдсөн бол Сэгс цагаан Богд уул 2480 метр өндөр юм билээ.

-Алсаас харахад налайн хэвтэж буй тэмээ шиг сүрлэг сайхан харагдах эл уул ямархан түүх хүүрнэх сэн бол?

-Эзэн богд Чингис хаан маань Тангудыг дарахаар 1220-иод оны үед Сэгс цагаан Богд уул, Шар хулсны шандаар дайран явсан гэдэг. Тийн явахдаа нэгэн уулыг өөрийн нэрээр нэрлэж, бас нэг уулыг харуулын толгой болгосноор Атас, Чингис хэмээн нэрийдэх болсон гэсэн домог бидний үед уламжлан ирж. Түүнээс хойш 1750-иад онд хятадуудтай наймаа хийх газрыг сонгохдоо энэ нутгийн Хонгор тайж Сэгс цагаан Богдыг баримжаалан, уух ус, амрах газрыг зааж заг чирэн зам гаргасан гэлцдэг. Энэ замаар олон зуун аянчин явж арилжаа наймаа хийж байсан жинчдийн жим буудлын мөр байсаар байдаг юм.

-Сэгс цагаан Богд уул нутгийн та нарт ойрхон боловч хүн зоны хөлөөс зайдуу Алтайн өвөр говийн үзэсгэлэн бүрдмэл сайхан нутаг юм. Эрдэмтэн судлаачдын анхааралд хэдийнээс өртсөн бол?

-Одоогоос 140-өөд жилийн тэртээ Оросын нэрт жуулчин Н.М.Прежевальский Сэгс цагаан Богд орчмоор аялахдаа нутгийн ард олноос баавгай амьдардаг тухай мэдээг дуулж мэдээлснээр анх мазаалайн тухай дэлхий нийтэд түгсэн байдаг. Олон улсын болон манай судлаачид тэр цагаас мазаалайг судалж эхэлсэн юм билээ. 2008 оноос Олон улсын баавгай судлалын ерөнхийлөгч Харри Рейнольдс өөр газар нутагт мазаалай байгаа эсэхийг судалж тогтоохоор ажилласаар ирсэн. Монгол-Оросын шинжлэх ухааны академийн хамтарсан баг П.Д.Гунины удирдлагаар 1976-1986 оны хооронд биологийн иж бүрэн экспедиц, хэт гандуу цөлийн суурин судалгааны анги ажиллуулж, байгаль экологийн бүрэн судалгаа явуулсан байдаг. ХБНГУ-ын Бавари мужийн Байгаль хамгаалах байгууллагын санхүүжилтээр доктор Р.Самъяа багш 2008 оноос нутгийн эко системийг судлахаар байгаль хамгаалах хэсэг байгуулан мазаалай болон бусад зэрлэг амьтдыг хамгаалах, судлах ажлыг хийж байна. Миний бие дээрх хоёр судалгааны багийнхантай хамтран ажилласан хүн л дээ.

-Сэгс цагаан Богд уулыг ховор ургамал, амьтны өлгий гэж ойлгож болох нь ээ?

-Цагаан Богд орчмын газар нутагт хэдэн арван сая жилийн өмнөх олдворууд өнөөг хүртэл хадгалагдан, шинжилгээ судалгааны үнэт олдвор болсоор байгаа. Тухайлбал, жаран сая жилийн тэртээх үлэг гүрвэлийн болон далайн амьтдын чулуужсан яс, мод, тэр үед ургаж байсан жигд, заг, тоорой, сухайн чулуужсан хэлбэрүүд олддог. Би гэр музейдээ нутгийн эл олдворуудаар нэлээд үзмэр гаргасныг та харсан байх. Энэ нутаг байгаль эхийн бүтээсэн ховордсон амьтан, ургамлын зоопарк, цэцэрлэгт хүрээлэн болдог тул 1990 онд ЮНЕСКО-гийн “Шим мандал”-д бүртгэсэн. Энэ хавийн нутагт “Улаан ном”-д орсон 13 зүйл хөхтөн, 10 зүйл шувуу, дөрвөн зүйл мөлхөгч, нэг зүйл хоёр нутагтан, дэлхийд нэн ховордсон амьтны жагсаалтад орсон 13 хөхтөн, долоон шувуу байдаг.

-Говь-Алтайн Ээж хайрхны Ламын агуйд Равдан хэмээх хүн олон жил хүн олноос хөндийрч амьдарсан байдаг. Сэгс цагаан Богдод тийм даяанч хүн нуугдаж байсан болов уу?

-Өнгөрөгч зууны эхээр Оросын нэрт эрдэмтэн эцэг хүү Ю.Н.Рерих Шамбалын орныг олохоор зорин явахдаа энэ хавийг сонгон судалж, газар нутгийн сонин содон түүхийг тэмдэглэн, зураг зурж үлдээсэн байдаг. Арвангуравдугаар Далай лам Түвдэнжамц 1904 оны модон хөх луу жилийн намрын дунд сарын 15-нд Сэгс цагаан Богд орчмоор морилон ирээд манай нутгийн Амарбуянтын хийд орох замдаа Шар хулсны рашааныг “Олон хүнд тус болох орчлонгийн шидэт ус байна” гэж аравнайлснаар өнөөг хүртэл ходоодны хавдраар өвчилсөн олон хүнийг анагаасан юм гэдэг. Тэрнээс энд элдэв лам хуврагууд даяанчлан суусан тухай сонсож байгаагүй шүү.

-Алдарт Жаа ламтай ямар сэжмээр холбогддог гэлээ?

-1920-иод оны үес Дамбийжанцан энэ нутгийн Эхийн гол, Цагаан богдын араар цэрэг цуглуулж өөрийн улсыг байгуулна гэж байгаад “Мазуньшянь” ууланд очиж бэхлэлтээ байгуулсан байдаг. Харин манай хошууны гэгээнтэн Жаалхан ламын дүр буюу Жамбалдамбийжанцанг Сэгс цагаан Богдоор 1933 онд хил давуулж Утай, Гүмбэн рүү явуулсан байгаа юм. Тэр үед аав минь гэгээнтний замчин буюу тогооч хийж явсан гэдэг. Гэгээнтэн Хөлтрөгийн голд очоод аавд минь “Та одоо буц даа, та тэгтлээ их ядарч зовохгүй ээ” гэж адислаад цааш одсон гэдэг.

-Энэ хавиар хятадууд хил зөрчин их орж ирдэг байсан гэл үү?

-1953-1960 оны хооронд Цагаан богдын хилийн харуул татан буугдсан үеэр хятадууд манай нутаг руу орж ирэн ан гөрөө хийж, махыг нь нутаг руугаа зөөдөг байсны дээр Эхийн голын баян бүрд орчимд хар тамхи тарьж байсан гэдэг. Зэвхийнүүд Онгон хайрхан уулын болон алттай гэгдэх газар нутгуудыг хар шоргоолж шиг ухаж байсан талаар Эхийн голд олон жил байгаль хамгаалагч хийсэн Ц.Зэсхүү гуай хуучилдаг юм.

-Та ч аргагүй л Залаа Жинст нутгийнхаа амьд нэвтэрхий толь юм. Алдарт “Сэгс цагаан Богд” найраглалд гардаг газар нутаг бүгд танай суманд байна уу?

-Монголын утга зохиолын сор болсон төрийн шагналт зохиолч Сономын Удвал, яруу найрагч Дэндэвийн Пүрэвдорж тэргүүтэй уран бүтээлчид Сэгс цагаан Богд орчмоор аялан, улмаар тэр алдарт найраглалыг бичсэнээр зохиогч нь төрийн шагнал хүртсэн төдийгүй манай нутгийн сүр сүлд болсон сайхан уулыг алдаршуулсан хэрэг. Манай нутагт Цэцэн Раш буюу Цэрэндаш хэмээх уул усаа шүлэглэн зааж өгдөг хүн байсан юм. Цэрэндаш гуай сухай ташуураа барин нэрлэсэн газрынхаа тийш зааж, зуун найман эрхиний тоогоор уул усыг шүлэглэн хэлдэг байж.

“Анагай гурван цоохор

Ажин гурван ширдэг

Аман гурван сээр

Ах дүү гурван хаалга

Залаатын гурван нуруу

Ямаатын гурван хавцал

Ихэр гурван хавцгайт

Эрээлэх гурван сэрвээт

Цоохор гурван дэл

Шалын гурван хоолой

Ар гурван гүн

Өвөр гурван Жаргалант

Зээрэнгийн гурван тал

Зэгстэйн гурван худаг

Байшинтын гурван шанд

Баяжихын гурван хөндий

Сувраа гурван хар

Суумал гурван улаан

Босго гурван гашуун

Бор гурван хайрхан

Эгэл гурван тооройт

Ижил гурван сэрвээт

Задгай гурван хээр

Зах гурван бамбуу

Өлзийт гурван овоо

Өгөөж гурван хонхор

Гуулингийн гурван хэц

Гурилын гурван цав

Ингэн гурван хөөвөр

Эх гурван бүрд

Төмөртийн гурван хөх

Тахийн гурван ус

Мазаалайн гурван булаг

Манхан гурван элс

Сүүж гурван орших

Сэгс цагаан Богд оо” гээд “За, тэгээд тогтоож амжсан уу” гэж ёжтойхон инээмсэглэдэг хүн байсан гэдэг. Эндээс ардын уран зохиолч маань эх зохиолынхоо санааг олж сайхан найраг туурвисан байж магадгүй юм.

-Найраглал төрсөн түүхийг та аль хэр мэдэх вэ?

-С.Удвал, Ч.Чимэд, Д.Пүрэвдорж, С.Дашдэндэв, С.Лувсанвандан, Д.Нямаа тэргүүтэй Монголын шилдэг зохиолчид говийн айл С.Надмэд гуайнхаар зочилж, найраглалын баатар Н.Сүхбаттай уулзсан түүхээс үүдэлтэй юм билээ. 1969 оны аравдугаар сарын 15-ны өдөр Д.Пүрэвдорж гуайн төрсөн өдөр тохиож С.Удвал гуай түүнд алим бэлэглэж байсан гэдэг. Надмэд гуайгаар нутаг усны сайхныг яриулж, шүлэглэсэн газрын нэрсийг тэмдэглэх зуур Н.Сүхбат хулангийн унагаа тэжээснээ үзүүлж. Буцах болоход Долгорын Нямаа найрагч зүүж явсан тэмдгээ хулангийн унаганы ногтон дээр зүүж өгөөд, хошуун дээр нь үнсээд явжээ. Тэд дараагийн айлд буугаад цэцэн цэлмэг зохиолчид тоглоом наргиагаар “Д.Нямаа хүүхнийг үнсэх гэсэн ч биднээс ичиж бантсандаа унаганы уруул үнссэн” гэцгээснээр найраглал бичих гол гогцоог олсон гэдэг. Тэр үед Д.Нямаа 29-тэй, Н.Сүхбат 17-той байсан юм билээ. Хожим 44 жилийн дараа 2013 оны аравдугаар сарын 25-нд найраглалын баатруудыг уулзахад би хамт очиж байлаа. Д.Нямаа нүдэндээ нулимстай машиндаа суухад сэтгэл уярч суусан минь саяхан мэт.

-Та хөөцөлдөж Сүхбат гуайд батламж олгуулсан гэдэг бил үү?

-Аймгийнхаа номын санд “Сэгс цагаан Богд” найраглалын баатар байгаа тухай хэлснээр номын сангийн удирдлага өөд нь уламжилж, 2013 оны есдүгээр сарын 21-нд Соёл, спорт, аялал жуулчлалын яамнаас Н.Сүхбатыг найраглалын тэмээчин дүрийн “Домогт баатар”-аар батламжилсан шүү.

-Та өөрийгөө их ярихгүй хүн юм аа?

-Ах нь яах вэ, Баян-Өндөр сумын Баржин гэдэг газар 1950 онд төрсөн хүн. Цэргийн алба хааж ирээд, Ус цаг уурын өртөө байгуулагдахад таван жил ажиглагчаар, 37 жил өртөөний даргын алба хашлаа. Орон нутгийн судлах музейг хоёр удаа байгуулж, арваад орны зуу гаруй иргэн, дотоодын мянга гаруй хүнд үзүүлж харууллаа. Хамгийн гол нь хүн эх нутагтаа л хайртай байх учиртай. Хүний энэ насанд хийх буяны нэг мод тарих юм гэнэ лээ. Би жигд, тоорой гээд мянга гаруй мод тарьж, хүүхдийн байгаль хамгаалах клубийг хориод жил ажиллууллаа. Уржнан жил Баянхонгор аймгийн тэргүүний эко клубээр шалгарсанд ная дөхсөн өвгөн би баярлаж л сууна.

Categories
мэдээ соёл-урлаг

Утгын чимэг наадмын тэргүүн байрт “Яргуй дэлгэрэх цагаар” өгүүллэг шалгарлаа

МЗЭ-ээс уламжлал болгон зохион байгуулдаг оны шилдэг өгүүллэг
шалгаруулах “Утгын чимэг” наадам өчигдөр Д.Нацагдоржийн нэрэмжит номын санд
боллоо. МЗЭ нь 1990 онд богино хэмжээний өгүүллэгийн “Шувуун саарал”
наадмыг анх зохион байгуулсан бол 1993 оноос “Утгын чимэг” хэмээн
нэрлэж, өдгөө 23 дахь жилтэйгээ золгож байна. Монголын утга зохиолын нэгэн
өвөрмөц төрөл болох богино өгүүллэгийн урын санг баяжуулах, шинэлэг хэлбэрээр
туурвисан, шинэ үеийн уншигчдын оюуны таашаалд нийцэхүйц шилмэл бүтээлийг олны
хүртээл болгох зорилготой тус наадамд зохиолчид сэдвийн хувьд чөлөөтэй,
Монголын утга зохиолд шинэ туурвил зүйг бий болгоход чиглэсэн богино хэмжээний
хүүрнэл зохиол, өгүүллэгээр өрсөлддөг билээ.

Утгын чимэг боллоо зурган илэрцүүдЭнэ удаагийн наадамд 50 гаруй уран бүтээлч хүүрнэл зохиолоо
ирүүлснээс Н.Бадамжав “Цагаан суурин”, П.Батхуяг “Зэрлэг зөрлөг”, Т.Баянсан
“Эсэн энхийн хаалга”, Т.Бум-Эрдэнэ “Хэрээний нүдэнд хэн нэгэн буй”,
Б.Лхагвасүрэн “Яргуй нүдлэх цагаар”, Я.Мөнхжаргал “Манай урсгалынхан”,
Б.Мягмаржав “Хөх хүү”, П.Нямлхагва “Хур усан бүүвэй”, С.Пүрэв “Нарангарав”,
М.Эрдэнэбат “Доголон нулимс” өгүүллэгүүд эцсийн шатанд тунаж үлдсэн.

Үүнээс Монголын үндэсний олон нийтийн радио, телевизийн
сэтгүүлч, яруу найрагч Б.Лхагвасүрэнгийн “Яргуй дэлгэрэх цагаар” өгүүллэг
тэргүүн байрт шалгарлаа. Харин “Болор цом”-ын эзэн, яруу найрагч
Б.Мягмаржавын “Хөх хүү” өгүүллэг удаахь байрт орсон бол МЗЭ-ийн шагналт
П.Батхуягийн “Зэрлэг зөрлөг” өгүүллэг гуравдугаар байрт тус тус шалгарлаа.

Өмнөх жилийн “Утгын чимэг” наадмыг Монгол Улсын Төрийн
шагналт, Ардын уран зохиолч Сэнгийн Эрдэнийн мэндэлсний 85 жилийн ойн нэрэмжит
болгон зохион байгуулсан юм. Энэхүү наадамд МЗЭ-ийн шагналт зохиолч Б.Золбаяр
“Цоожны ганц шүдлэн” өгүүллэгээрээ түрүүлсэн билээ. Энэхүү өгүүллэгийг хүргэе.

Categories
мэдээ цаг-үе

Хишигтогтохын Алтангэрэл: Хөгжим бол хил хязгаар, хэл орчуулгын шаардлагагүй соёлын гайхамшигт гүүр

Монголын хөгжмийн зохиолчдын холбооны ерөнхийлөгч, Монгол Улсын соёлын тэргүүний ажилтан хөгжмийн зохиолч Хишигтогтохын Алтангэрэлтэй уулзаж хөөрөлдлөө. “Алтан намар” хөгжмийн наадмын 2006 оны тэргүүн болон 2005-2012 оны хөгжмийн шилдэг бүтээлийн шагналт эл уран бүтээлчийг Монголын хөгжмийн урлагийнхан андахгүй сайн мэднэ. Хэтэрхий даруухан тэрээр урын сандаа дуурь, бүжгэн жүжиг, симфони, концерт, сонат, дуулалт болон хүүхэлдэйн жүжгийн хөгжим, дан хөгжмийн 60 гаруй, дуулаачийн 20 гаруй бүтээлтэй уран бүтээлч юм.

-Өөрийг чинь Монголын хөгжмийн ертөнцөд нэртэй мөртэй хүн гэдгийг урлагийнхан сайн мэднэ. Гэхдээ та албан тушаалаа ашиглаад ч юм уу, тэгтлээ их олны танил болох гэж эрмэлздэггүй хүн шиг санагддаг?

-Би Хөгжим бүжгийн коллежийг төгсөн, Москвагийн Хөгжмийн их сургуулийг хөгжим судлаач-хөгжмийн зохиолчоор дүүргэсэн хүн. Миний хөгжмийн зохиомжийн багш бол Монгол Улсын урлагийн гавьяат зүтгэлтэн, төрийн шагналт хөгжмийн зохиолч Б.Шарав багш маань юм. Ерэн найман онд Шарав багшийнхаа гэрт очиж монгол ёсоор хадаг барьж, багш шавь барилдаж байлаа. Уран бүтээлийн хувьд гэвэл ерэн зургаан онд Хөгжим бүжгийн коллежийн дөрөвдүгээр курст байхаасаа хөгжмийн бүтээлүүд бичсэн. Морин хуур, баян хуур, төгөлдөр хуурын зэмсгүүдийг эзэмшсэн. Сүүлд ОХУ-ын анхны Хөгжмийн их сургуульд элсэн орж алдарт Борис Тищенкогийн удирдлага дор суралцсан. Энэ багшийнхаа удирдлага дор Нэгдүгээр симфонио бичсэн ч харамсалтай нь багш маань удалгүй бурхан болсон доо. Ер нь мэргэжлийн хөгжмийн зохиолчид дан хөгжмийн бүтээл дээр л суухыг боддог. Тиймээс сүүлийн хорь гаруй жилд жар гаруй дан хөгжмийн зохиол бичиж туурвиж.

-Та дуурь бичиж байв уу?

-“Ганц цагаан шувуу” гэж сюрреалист дуурь бичсэн шүү. Манайдаа анхны, ганц хүний сюрреалист дуурь л даа. Богд хаант Монгол Улсын зуун жилийн ойгоор урлагийн гавьяат зүтгэлтэн Эрдэнэбулганы найруулга, зохиолч Б.Цогнэмэхийн цомнол “Ай Нанаа” дуулалт жүжиг бичсэнийг манай үзэгчид сайн санаж байгаа байх. 2014 онд ДБЭТ-ийн захиалгаар “Төгсгөлгүй” гээд танго балет бичсэн. Цаг үеэ аль болохоор дагаж төрөл жанрын хувьд ч залуусын хайр сэтгэлийн зөрчлөөс сэдэвлэн бүтээл туурвилаа. Танго бүжгийн хэмнэлээр гол хөдөлгөөнөө хийгээд балет хийсэн маань урлаг судлаачдын сонирхлыг татсан. Танго бол манай монгол үндэстний бүжиг биш шүү дээ.

-Латин-Америкаас гаралтай бүжиг гэдэг байх аа?

-Латин-Америк, Аргентиний бүжгийн тэр хэмнэл, аялгуугаар монгол хөгжмийн зохиолч яагаад бичиж болохгүй гэж хэмээн өөртөө асуулт тавиад хийсэн. Дуурийн театрын залуу бүжигчин, балетмейстр Эрдэнэжаргал гэдэг залуу сайхан найруулж тавьсан. Энэ танго балет маань сүүлийн хоёр ч жил тайзнаа тавигдлаа. Валентины баяраар хоёрдугаар сарын 14-нд тоглодог уламжлалтай болоод байгаа.

-Үндэсний хөгжимд зориулсан ямар бүтээлүүд байна?

-Үндэсний хөгжимд зориулсан зургаан ч том бүтээл байна. Морин хуурт зориулсан бишгүй олон зохиол бий. Нийтдээ жар гаруй бүтээл байна.

-Мэргэжлийн хөгжмийн зохиолчид дууны уран бүтээлийг жижиг гээд голоод байх шиг санагддаг. Таны хувьд дуутай юу?

-Би харьцангуй сүүлд дууны уран бүтээлд орсон. Мэргэжлийн уран бүтээлчдэд багш нар “Тайзны дуурь, балет, концертуудаа насны залуу дээр бичээд ав. Том уран бүтээлээ өөрийгөө шавхаад хийчихвэл дууны уран бүтээл бол гарын үзүүрийн л ажил” гэж захиж байсан. Сургуулиа төгссөнөөс хойш арав гаруй жил дууны уран бүтээл хийгээгүй. Өнгөрсөн жил харин “Морин хуур” наадамд яруу найрагч Гомбожавын Мэнд-Ооёогийн шүлгээр бүтсэн “Дарьгангын хээр тал” дуу маань нэгдүгээр байр эзэлсэн. Би аль болохоор цөөхөн атлаа даацтай уран бүтээл хийх юмсан гэж боддог. Одоогоор хорь гаруй дуу бичсэн байна.

-Улсын санд таны нэлээд олон уран бүтээл багтсан гэдэг байх аа?

-2007 оноос тасралтгүй зургаан жил дан хөгжмийн бүтээл минь шалгарч байсан. “Ай Нанаа”, “Ятгын концертууд”, “Морин хуурын сонатууд”, “Цасны мэргэдүүд” хэмээх морин хуур, төгөлдөр хуур, цохивор хөгжимд зориулсан концерт найраглал, “Хэмнэл” гээд цөөнгүй бүтээлүүд улсын санд хадгалагдаж байна даа.

-Монголын хөгжмийн зохиолчдын холбооны ерөнхийлөгчийн албыг хашаад аль хэр удаж байгаа билээ?

-Би өнгөрсөн жил болсон Монголын хөгжмийн зохиолчдын арвангуравдугаар чуулганаар холбооны ерөнхийлөгчийн албыг аваад яг бүтэн жил болж байна. Манай холбоо бол Монголын хөгжмийн зохиолчид, мэргэжлийн удирдаачид, хөгжим судлаачид, хөгжимчид, дуучдыг багтаасан түүхтэй том байгууллага. Анх 1957 онд байгуулагдаж, алдарт хөгжмийн зохиолч Самбын Гончигсумлаа гуай даргаар сонгогдон 1983 он хүртэл нийт 26 жил удирдсан байдаг. Энэ байгууллага бол тухайн нийгмийнхээ урлагийн бүх байгууллагыг уран сайхны бодлогоор хангаж байсан. Улсын хэмжээнд гэхэд радио телевизээр ямар сонгодог хөгжим нэвтрүүлэх вэ гэдгийг, дотооддоо урлагийн мэргэжилтнүүдээ яаж бэлддэг байх вэ гээд өргөн хүрээг хамарсан асуудлыг хариуцдаг байсан. Одоогийнхоор бол Засгийн газрын агентлагийн хэмжээнд үйл ажиллагаагаа явуулж байсан байгууллага. Сайд нарын Зөвлөлийн тогтоолоор байгуулагдсанаасаа хойш бүтэн жаран жилийн нүүр үзээд байна л даа. Энэ жаран жилийн хугацаанд үндэсний дуурь, дуулалт жүжгүүд, үндэсний симфони, үндэсний бүжгэн жүжгүүд, дан хөгжмийн бүтээлүүд гээд бүтэн жаран жил ард түмнийг дуу хуур, хөгжим бүжгээр цэнгүүлэн хөгжүүлж ирж. Монгол Улсын ардын жүжигчин, төрийн хошой шагналт хөгжмийн зохиолч Н.Жанцанноров гуай 1983-1990 он хүртэл Монголын хөгжмийн зохиолчдын холбоог толгойлсон. Ерэн онд төрийн соёрхолт хөгжмийн зохиолч Халтарын Билэгжаргал энэ байгууллагыг удирдсан. Миний хувьд тус холбооны ес дэх ерөнхийлөгч нь. Яахав, ажил авсаар бүх нийтийн хөгжмийн боловсролд анхаарч “Алтан зул” хүүхдийн дууны наадмыг зохион байгууллаа.

-Монголын хөгжмийн зохиолчдын холбооны гадаад харилцааг тэлэх ёстой гэж байсан. Энэ хүрээнд ямар ажил амжуулж байна даа?

-Гончигсумлаа гуайн үед манай холбоо Хятадын хөгжмийн зохиолчдын холбоотой ямар ч харилцаа холбоогүй байсан юм байна. Жараад онд Хятадад Соёлын хувьсгал гарснаар манай хоёр улсын харилцаа ч муудсан. Хоёр улсад болдог хөгжмийн наадмуудад хоёр талаас огт оролцож байгаагүй юм билээ. Харин ерээд оны эхээр шахуу Монголд болсон хөгжмийн наадмаар Өмнөд Монголын хөгжмийн зохиолчдын холбооны дарга нь ирж, алдарт морин хуурч Чибулаг гуай эд нар ирж тоглож байсан байдаг. Харин Бүх Хятадын хөгжмийн зохиолчдын холбоотой харилцаа төдийлөн сайн байгаагүй.

-Өнгөрөгч зуны айлчлалаар харин Бүх Хятадын хөгжмийн зохиолчдын холбоотой харьцангуй зузаан харилцаа тогтоогоод ирэв үү?

-Бүх Хятадын хөгжмийн зохиолчдын холбооны даргын урилгаар манай төлөөлөгчид урд хөршид зочлоод ирлээ. Бүх Хятадын хөгжмийн зохиолчдын холбооны дарга Е Шиа Ган гуай бол нэрт хөгжмийн зохиолч, Бээжингийн Хөгжмийн их сургуулийн дэд ерөнхийлөгч, Бээжингийн Орчин үеийн хөгжмийн наадмын уран сайхны удирдагч, профессор цолтой, жараад насны мундаг хүн байна. Бид Бүх Хятадын хөгжмийн зохиолчдын холбоотой хамтран ажилласнаар манай хөгжмийн бүтээлүүд урд их хөршид, олон улсын тавцанд гарах гүүр болж байгаа юм, нэг ёсондоо. Тухайлбал, Бээжинд болдог Орчин үеийн хөгжмийн их наадамд гэхэд АНУ, Герман, Европоос ирж оролцдог байхад бид хаяа зэргэлдээ мөртлөө тийм том наадам байдгийг ч мэдэхгүй сууж байна гэдэг учир дутагдалтай биз дээ. Саяын айлчлалаар жил бүрийн тавдугаар сард хоёр долоо хоногийн хугацаанд болдог тэр том наадамд Монголынхоо хөгжмийн зохиолчдын бүтээлийг эгшиглүүлэх болсон маань том амжилт. Монгол үндэстнийхээ томоохон хөгжмийн зохиолчдын бүтээлийг аль болохоор олон улсын тавцанд гаргах л Монголын хөгжмийн зохиолчдын холбооны гадаад харилцааны гол чиглэл болж байгаа. Хөгжим бол хил хязгаар, хэл соёлын нөлөө байхгүй гайхамшигтай урлаг ш дээ. Улс орны нүүр царай чинь урлаг, спортдоо л байдаг. Манайхан спортоороо танигдаад байдаг, одоо урлагаараа, хөгжмөөрөө дэлхийд танигдах ёстой. Хятад, Орос хоёр эртнээсээ харьцаж ирсэн Монгол Улсын мөнхийн хөрш.

-Айлчлалд хэн хэн оролцоод ирэв?

-Монгол Улсын төрийн болон Чингис хааны одонт, урлагийн гавьяат зүтгэлтэн хөгжмийн зохиолч Б.Шарав, урлагийн гавьяат зүтгэлтэн, хөгжмийн зохиолч Д.Баттөмөр, урлагийн гавьяат зүтгэлтэн, удирдаач Н.Туулайхүү, СУИС-ийн багш, хөгжмийн зохиолч Саруул биднийг Бээжин, Чонжун хотод хүлээж авлаа. Чонжун хотод Хубилай хааны үеийн Юань гүрнээс үлдсэн ууланд амьдардаг монголчуудтайгаа уулзахад сайхан байлаа.

-Жаран жилийнхээ ойн босгон дээр ирээд байгаа том байгууллагын ерөнхийлөгч хийхээр төлөвлөсөн ажлууд их л байгаа байлгүй?

-Гучин тав дахь жилээ зохион байгуулж буй “Алтан намар”, гучин настайгаа золгож байгаа “Морин хуур” наадмуудаа мэдээж сайхан зохион байгуулна. Төрийн шагналт хөгжмийн зохиолч Гончигийн Бирваагийн 100 жилийн ойд зориулсан хөгжмийн долоо хоног, Э.Чойдог гуайн 90 насны ойд зориулсан тоглолт, хөдөлмөрийн баатар, төрийн шагналт хөгжмийн зохиолч Д.Лувсаншарав гуайн 90 насны ойг тэмдэглэх том ажлууд хүлээж байна даа.

Categories
мэдээ цаг-үе

Оюун сэтгэлийг гэрэлтүүлсэн “Утга зохиолын тухай өгүүллүүд”

“Их нүүдэл” цувралаар “Моодун шаньюй”, “Хүүхэн шаньюй”, “Тэнгэрийн ташуур Аттила” гурамсан романаа уншигчдад өргөн барьсан нэрт нанхиадач, МЗЭ-ийн болон утга зохиолын “Алтан өд” шагналт зохиолч Ядмаагийн Ганбаатарын “Утга зохиолын тухай өгүүллүүд” ном хэвлэлийн будаг ханхалсан шинээрээ өмнө минь байна. Эдүгээ Тайваньд консулын алба хашин буй эрхэм эрдэмтний бүтээлийн цоморлигт нийт хорин долоон өгүүлэл багтжээ.

Энэ ном Эрээнцавын эвдрээгүй найрагч Данзангийн Нямсүрэнгийн “Амитаба” хэмээх гайхамшигт найргийн тухай “Амитаба бурхны сэтгэлээр мөнхийн найраг туурвихуй” гэсэн дорвитой өгүүллээр эхэлж, “Ертөнцийн явдал” олимпийн тэнгэрт хур болон бууж, дэлхийн сонорт эгшиглэнэм”, “Б.Галсансүхийн гурван гавьяа”, төрийн шагналт яруу найрагч Ц.Бавуудоржийн уран бүтээлийн талаар бичсэн “Хүннү туульс” хүчирхэг дуурьсаж байна”, “Д.Нацагдоржийн уламжлал буюу Б.Золбаярын яруу өгүүллэгүүд”, “Күнз ба Равжаа”, “Зян Рунгийн “Чонон сүлд” хийгээд монголчууд бид” зэрэг уншууртай, даацтай бүтээлүүд багтсан нь сайн оюут бичгийн мэргэдийн анхаарлыг зүй ёсоор татаж байна.

Я.Ганбаатар бол өмнөх мөрөө тэмтчин бэдичдэг жирийн нэгэн сохор судлаач биш харин оюун сэтгэлгээний шинэ арал нээхээр зориг шулуудсан билгүүн төгөлдөр бичгийн хүмүүн юм гэдгийг бичсэн өгүүлэл шүүмжүүдийг уншаад мэдэж болно. Энэ бол магтаалын цаасан малгай духдуулсан явдал биш гэдгийг манай уншигчид надаар хэлүүлэлтгүй гадарлана. Үүнийг нь Монголын зохиолчдын мэргэжлийн байгууллагаас зохион байгуулдаг оны шилдэг бүтээл шалгаруулах “Алтан өд” дээд шагналыг “Нангиадын утга соёлын товчоон”, Хүн гүрний үеийн тухай “Их нүүдэл” гурамсан романуудаараа хоёронтоо хүртсэн явдал бэлээхэн гэрчилнэ.

Я.Ганбаатар зөвхөн утга зохиол, хэл соёлын талаар л дуугардаг, хятад хэлнээ дулдуйдсан өчүүхэн бичээч биш гэдгийг энэ номонд орсон “Түвд банхар, монгол банхарын тухай өгүүлэхүй”, “Гурван улсын үлгэр” их туурвилын тухай товчхон өгүүлэхэд”, “Монголын газрын зураг” хэмээх бүтээлийн тухайд”, “Манайд хэл бичгийн бодлого байна уу”, “Яруу найраг хүн төрөлхтөнийг аварна”, “Норвегийн ой” хэмээх анхны хайрын дууль”, “Монгол бол их чанартай, чадалтай үндэстэн”, “Яруу найргийн үзэсгэлэнт аралд зорчсон тэмдэглэл”, “Ногоон нүдэн лам” лайтай ном” зэрэг өгүүлэл, тэмдэглэл, шүүмжүүд гэрчилнэ.

Уншсан хүнд саргүй харанхуй шөнөөр гар чийдэн шиг гэрэл гэгээ болчихмоор санаа сэдэл болчихмоор нарийн ширийн зүйл захаас аван таарах нь бичгийн их чадалтай хүний арга барил яах аргагүй мөн. Ганц нэг жишээ татахад их эрдэмтэн Чой.Лувсанжав багшийнхаа цагтаа хэчнээн их харам байсан тухай нь “Гудамжинд явж байхад нь өөдөөс нь таньдаг хүн ирж харагдвал гудамжны нөгөө тал руу бушуухан гараад явчихдаг байсан гэж өөрөө ярьдаг байлаа. Цаг хугацаа гэдэг алдвал эргэж олддоггүй тийм л үнэтэй нандин зүйл байсныг яс махаараа мэдэрсэн хүний үг дээ” гэж бичсэн нь өнөөгийн бидэнд нэн хамааралтай юм. Ноён хутагт Равжааг тэрээр хятадын их ухаантан Күнзтэй адилтган зохиол бүтээлийг нь зэрэгцүүлэн жишиж судалсан нь яах аргагүй сонирхол татна. Эрдэмтэн-зохиолч Я.Ганбаатарын “Утга зохиолын тухай өгүүллүүд” дэлхийн сонгодог болоод Монголын утга зохиолын их далайг харьцуулсан үнэлэмжээрээ манайд шинэ зам нээсэн бүтээлийн чуулган болсныг дурдах нь зөв болов уу. Номын гэрэлт жим оюуны их харгуй болон өргөсч, зон олныг замчлах болтугай!

Categories
мэдээ цаг-үе

М.Уянсүх: Ертөнц өөрөө тэр чигээрээ яруу найраг

МЗЭ-ийн шагналт зохиолч, яруу найрагч
Мулцангийн Уянсүхтэй хөөрөлдөв.

-Таныг Солонгосын утга зохиолын
нэр хүндтэй шагнал авсанд баяр хүргэе. Энэ шагналын тухай яриач?

-Баярлалаа. БНСУ-ын Чанвоны КС-ийн
нэрэмжит Олон улсын утга зохиолын шагналыг Монгол Улсаа төлөөлөн анх удаа хүртээд
ирлээ. Анх зохиогдож эхэлсэнээс хойш 27 жил болж байгаа Чанвоны олон улсын утга
зохиолын фестиваль энэ хотоос төрсөн нэрт яруу найрагч Ким Дал Жиний нэрэмжит юм
байна. Үндэсний утга зохиолын шагнал олгох болсноос хойш 21, гадаад уран бүтээлчдийн
хувьд бол долоодахь шагнал. Өнгөрсөн жил ОХУ-ын А.М.Горькийн нэрэмжит Утга зохиолын
дээд сургуулийн захирал, зохиолч, орчуулагч Алексей Николаевич Варламов хүртсэн
юм билээ. Энэ дашрамд БНСУ, Монгол Улсын яруу найрагчдын харилцааг сэргээх, хөгжүүлэх
үйлсийг санаачилж эхлүүлсэн яруу найрагч Х.Чилаажав, Ц.Буянзаяа, Г.Мөнхцэцэг болон
МЗЭ-ийн Удирдах зөвлөлд талархал илэрхийлье. Энэ удаагийн шагналд миний нэрийг дэвшүүлсэн
яруу найрагч Л.Өлзийтөгс, Х.Чилаажав, миний намтар, бүтээлийг орчуулсан И Ан На,
фестивалийн үеэр хамт байж, яруу найрагчидтай харилцаа холбоо тогтооход сэтгэл гаргаж
тусалсан Сөүлийн их сургуулийн судлаач, орчуулагч Ч.Цэрэнхорлоо, нэр дэвшүүлэх материалыг
өндөр түвшинд бэлтгэж илгээсэн МЗЭ-ийн Дархан-Уул аймаг дахь “Хязгааргүй орчлон”
төвийн гүйцэтгэх захирал, яруу найрагч, судлаач Г.Бямбажав нартаа баярлалаа гэж
хэлье. Энэ сайхан хүмүүс миний өмнөөс санаа тавьж байхад би өөрөө юу ч мэдэхгүй
сайхан амьтан уулын аглагт тэнүүчилж явлаа шүү дээ.

-Та шагнал гардахдаа ямар үг хэлэв?

-Зохион байгуулагчдын зүгээс надад
товч бөгөөд тодорхой үг хэлэхийг зөвлөсөн болохоор би юун түрүүн Монголын нийт яруу
найрагчдын өмнөөс баярласан талархсанаа илэрхийлж, дараа нь яруу найргийн тухай
өөрийн бодлоо цөөн үгээр хэлсэн. Тэгсэн чинь Японы Осака хотоос ирсэн яруу найрагч
эмэгтэй дараа нь надтай уулзаж ярилцахдаа “Таны хэлсэн үг тэр чигээрээ яруу найраг
байлаа” гэсэн шүү. (инээв.сур) Бас үндэсний утга зохиолын шагнал авсан залуу зохиолч
индэрт гараад “Би их сандарч байснаа Монголын яруу найрагчийн үгийг сонсоод тайвширчихлаа”
гээд хэдэн үг хэлж байснаа дахин сандарч, толгойгоо илсэнээ “Ер нь шүлгээ л сайн
бичье дээ” гэж хэлчихээд тайзнаас буухад хүмүүс бөөн инээдэм болсон. Олон улсын
нэр хүндтэй шагналыг надад Гён Сан Ду аймгийн Хурлын дарга өөрийн биеэр гардуулсан
даа.

-Фестивалийн цар хүрээ хир өргөн
хэмжээнд явагдаж өнгөрөв дөө?

-Үндсэндээ Монгол, Солонгос, Хятад,
Япон гэсэн Азийн дөрвөн орон оролцлоо. Солонгос орны зүг бүрээс олон найрагч хуран
чуулсан нь их сайхан байлаа. Эхний өдрийн үдэш Сөүл хотод цомхон арга хэмжээ зохиож,
цөөн тооны хүн урьдаг юм байна. Гадаадын төлөөлөгчид, БНСУ-ын Зохиолчдын хорооны
дарга, Яруу найргийн холбооны тэргүүн, шүүгчдийн бүрэлдэхүүний ахлагч, бас Солонгосын
яруу найргийн төлөөлөгчид. Яруу найргийн холбооны тэргүүн нь яруу найрагч Ким Дал
Жиний нэрэмжит сангийн ерөнхийлөгч Чой Дон Ху. Энэ сан өнгөрсөн жил БНСУ-ын шилдэг
сангаар шалгарсан юм байна. Ерөнхийлөгч Чой Дон Ху цомхон арга хэмжээг нээж цөөн
үг хэлэхдээ энэ удаагийн шагналыг Монголын яруу найрагч хүртэх болсныг албан ёсоор
зарласан. Дараа нь яруу найрагчид шүлгээ уншиж, бас шүүгчдийн багийн ахлагч тайлбар
хийсэн. Тэр хэлэхдээ “Монголын яруу найрагчийн хувьд бид маш сайн судалсан учраас
санал нэг байсан. Хятадын яруу найрагч модернист шүлэгтэй. Өрнөдөд, ялангуяа Франц,
Испанид их алдаршсан. Хятадаасаа бас олон шагнал авсан хүн. Японы яруу найрагч бол
Осака хотын яруу найргийн шинэ хөдөлгөөний тэргүүн, сэтгүүлийн эрхлэгч, бас өөрийн
гэсэн яруу найргийн сантай” гэх мэтээр тайлбарлаж байна лээ. Фестивалийн хамгийн
сайхан мөч нь шагнал гардуулах ёслолын маргааш Ким Дал Жин найрагчийн гэр музейд
зохиогдсон арга хэмжээ. Энэ арга хэмжээ “Монголын яруу найргийн тухай” миний илтгэлээр
эхэлж, дараа нь яруу найрагчид шүлгээ уншицгаасан. Мөн Ким Дал Жин найрагчийн тосгоныхон
бидэнд өөрсдийн гараар идээ бэлтгэж, зоог барьсан нь сонирхолтой байлаа.

-Ноднин жил та Дэлхийн яруу найргийн
академиас нэг нэр хүндтэй шагнал хүртэж байсан санагдах юм?

-Өнгөрсөн жил АНУ-ын Калифорни мужид
бүртгэлтэй ЮНЕСКО-гийн дэргэдэх Дэлхийн урлаг, соёлын академиас зохион байгуулдаг
Дэлхийн яруу найргийн ХХХV их хурлаас намайг шилдэг яруу найрагчаар шалгаруулсан
юм. Энэ шагналыг “Дэлхийн яруу найргийн антологи”-т орсон шүлгүүдээс Удирдах зөвлөлийн
гишүүдийн нууц санал хураалтаар шалгаруулж, хаалтын ажиллагаан дээр зарладаг юм
билээ. Хаалтын ажиллагаан дээр зарласан учраас батламж нь араас ирж, Г.Мэнд-Ооёо
найрагч надад өөрийн биеэр гардуулсан даа. Англи, хятад, испани хэлт яруу найрагчдын
шүлгүүдийг шүүгээд надад “Англи хэлт яруу найргийн ялагч” гэсэн утга бүхүй батламж
ирүүлсэн. Миний шүлгүүд англи хэл дээр орчуулагдсан байсан учраас тэр л дээ. ХХХV
их хурлаас А.Эрдэнэ-Очир найрагчид “Гарамгай яруу найргийн төлөө” алтан медаль олгосон.
Энэ медалийг өмнө нь Ц.Бавуудорж найрагч бас хүртсэн байдаг юм.

-За тийм байж. Яруу найргийг та
чухам юу гэж ойлгодог вэ. Хэдэн наснаасаа анх шүлэг найрагтай хувь заяагаа холбов?

-Энэ ертөнц өөрөө тэр чигээрээ яруу
найраг гэж би ойлгодог хүн. Анзаарч, мэдэрч чадвал бүх юм нь яруу найраг. Тиймээс
жаргал, зовлон хоёр дээр тогтдог энэ ертөнцийн тэнцвэр нь яруу найраг юм байна гэж
боддог болсон шүү. Намайг анх энэ зүгт хөтөлсөн хүн бол нутаг усандаа “Үлгэрч Банди
багш” гэж алдаршсан авга ах Г.Банди агсан. Авга ахынхаа ярьдаг шидэт үлгэрүүдийг
багадаа сонсох бүртээ өөр ертөнц рүү хөтлөгдөж, сургуульд оронгуутаа номонд дурлаж
эхэлсэн. Гэхдээ зохиол бичнэ, яруу найрагч болно гэж хэзээ ч бодож явсангүй. Нэг
л мэдэхэд бичсэн анхны шүлэг минь оюутан цагийн шүлэг. Энэ шүлгээ алс баруун хязгаарын
Хархираагийн уулсаа, бас ээжээ санаж бэтгэрэхдээ бичсэн дээ. Тэгэхээр энэ нь санагалзаж
тэчьяадсан сэтгэлээс тэсэлгүй алдуурсан үгс байсан гэсэн үг.

-Энэ жил хилийн чинадад явах нэлээд
даллагатай байв уу. Цахим ертөнцөд Оросын Бийск хотод явсан зураг тань тавигдсан
байсан?

-Бийск биш ээ. ОХУ-ын Хакассын нийслэл
Абакан орж, тэндээсээ цаашаа Саяногорск хүртэл явсан юм. Би ерээд оны эхээр хил
гарч, Тувагаас цаашаа Саяаны нурууг хэд хэдэн удаа давж байсан л даа. Гэтэл энэ
жил яагаад ч юм тэр зүг намайг даллаад болдоггүй. Тэгээд л явсан. Өмнө нь би дандаа
Ак-Довуракаар дайрч, баруун Саяанаар давж байсан бол энэ удаа Кызылээр дайрч, зүүн
Саяаны уулсыг давсан. Саяан гээд байгаа маань Сүбээдэй баатрын нутаг, Д.Нацагдоржийн
“Миний нутаг” шүлэгт байдаг Соёны нуруу шүү дээ. Тэндээс эргэж ирээд Хархираа ууландаа
хэд хоног амраад Улаангом орсон үдэш гэнэт Солонгосоос утасдаж, шагнал авах болсон
тухай албан ёсоор мэдэгдсэн. Ер нь би уул ус, тэнгэр хангайдаа их сүсэгтэй хүн.
Жил бүр уулсаа зорьдог. Уулсаа зорьсон аялал бүхэн надад их эрч хүч, онгод хийморь
өгдөг юм.

-Та Монголын яруу найргийн мөнгөн
үеийн гол төлөөлөгчдийн нэг яах аргагүй мөн. Монголын утга зохиолд туурвиж яваа
үеийнхнийхээ талаар хүүрнээч?

-Тэр бүр уулзаж учраад байдаггүй
ч гэсэн би үеийнхэндээ бүгдэд нь хайртай. Ер нь шүлэг бичдэг гэсэн нэр хаягтай бүх
хүнийг хүндэлж явдаг. Надад нэг ийм бодол байдаг юм. Бичиж л байгаа бол тэр хүн
яруу найрагч. Илэрхийлэх хэлбэр нь чанга, сул, тод, бүдэг, энгийн, гүн ямар ч байж
болно. Гэхдээ л тэр чинь тухайн хувь хүний дотоод сэтгэлийн илэрхийлэл шүү дээ.
Шүлэг байтугай өргөдөл бичиж чаддаггүй албан хаагчид өнөөдөр Монголд олон байна.
Тэгэхээр баргийн хүн шүлэг бичиж чадах нь байтугай уншиж чадах эсэх нь ихээхэн эргэлзээтэй.

-Ерээд онд Монголын утга зохиолд
хүч түрэн орж ирсэн “Зүс бүгэг” андуудынхаа талаар дурсаач…

-“Зүс бүгэг андууд” ном үнэндээ
гэнэтийн тэсрэлт, үзэгдэл байсан. Тухайн үед Монголд нийгмийн хувьд ч том тэсрэлт
болсон шүү дээ. Гэхдээ энэ ном бичигдэх бэлтгэл шат нь яах аргагүй Д.Урианхай найрагчийн
шүлгүүд. Тэгэхээр энэ номыг цагаа олж гарсан ном гэж хэлж болно. Андуудын хувьд
одоо хааяа нэг тааралдах, утсаар ярихаас өөрөөр уулзалдах завгүй л яваад байгаа.
Гэтэл нэг маань тэнгэрт хальчихлаа. Өнгөрсөн жилээс хойш утга зохиол судлаач Ш.Баттөр
“Зүс бүгэг андууд”-ын тухай баримтат кино хийх санаатай яваад байгаа. Гацаа нь нөгөө
л мөнгө төгрөг. Бид өөрсдөө ч тэр хүнд тус дэм болж амжихгүй л явна.

-Өнөөдөр дэлхийн болоод Монголын
яруу найргийн чиг хандлага чухам хаашаа явж байна гэж та боддог вэ?

-Тойргийн онол гэж нэг юм байдаг
юм. Энэ нь хүн зун болохоор намрыг үгүйлж, намар ирэхээр өвлийг хүлээдэгтэй төстэй.
Гэтэл хавар болж, дараа нь дахиад л зун ирдэг шүү дээ. Яруу найргийн чиг хандлага
өнөөдөр яг ийм байдал руу явж байна. Модернизм, постмодернизм яван хуучирч, хүмүүс
эргээд энгийн үнэн, цэвэр сэтгэл, дотоод ахуй руугаа өнгийж эхэлж байх шиг. Ер нь
сэтгэлгээний хүнд хэлбэрийн урсгал, чиглэлүүд дайн самууны үе, нийгэм-эдийн засгийн
гүнзгий хямрал, сэтгэхүйн доройтол, оюун санааны уналтын үед хүчтэй илэрдэг юм шиг
ээ. Одоо бол харьцангуй амгалан үе явж байна. Тиймээс хүмүүс сайн сайхныг хүсэж,
байгаль руугаа тэмүүлж, нүсэр иргэншлээс залхаж эхэлж байна. Үүнтэй зэрэгцээд яруу
найраг ч бас энгийн болж байх шиг санагдаад байгаа. Залуудаа миний анзааралгүй өнгөрөөсөн
Г.Мэнд-Ооёо найрагчийн шүлгүүдийг одоо уншихад тэр чигээрээ гэрэл гэгээ. Японы Осака
хотын яруу найрагчид гэхэд л Токиогийн яруу найргийн тигтэй зөрчилдөж “Яруу найраг
заавал уламжлалд баригдах ёсгүй. Бас товч бөгөөд энгийн байх ёстой” хөдөлгөөн өрнүүлж
ихээхэн амжилт олж байгаа гэсэн шүү. Өнөөдөр дэлхий өөрчлөгдөж байна. Энэ нь оюунлаг
тал руугаа хандсан өөрчлөлт. Хөгжсөн орнууд иргэдээ боловсруулах, гэгээрүүлэх бодлого
эрчимтэй явуулж байна. БНСУ гэхэд есөн аймагтай. Миний очсон Чанвон хот Гён Сан
Ду аймгийн жирийн хот. Нэг сая хоёр зуун мянган хүн амтай. Япон тэнгистэй хиллэдэг
энэ хот япончуудын анхны довтолгоог няцааж, эзэн хаанаасаа өндөр зэрэглэл хүртэж
байсан түүхтэй. Шагнал гардуулах ёслолын ажиллагааны дараа Ким Дал Жин найрагчийн
“Хайраар…” гэсэн ганцхан шүлгээр монолог, харилцан яриа, бүжиг, этюд, дуу, хөгжим,
шүлгээс бүтсэн бүхэл бүтэн модерн драм тавьж, залуус голдуу үзэж байгааг харахад
ёстой л яруу найргийн хот гэмээр. Пусаны олимп зохиохоос өмнө Солонгос орны нийгэм-эдийн
засгийн байдал тийм ч сайхан байгаагүй юм байна. Харин олимпын дараа л дэлхий нийтийн
анхааралд өртөж, өнөөдрийн хөгжлийн гарц нээгдсэн тухай ярихыг сонслоо. Тэгэхээр
ерөнхийлөгч Ц.Элбэгдоржийн санаачилж, амжилттай зохион байгуулсан АСЕМ-ийн цаана
бас ийм холч бодлого, алсын хараа явж байгаа юм биш үү гэж эрхгүй бодогдсон шүү.
Гэтэл бид яаж шүүмжилж, эсэргүүцэж байлаа даа.

-Хаа байсан Баруун Монголын хүн
Дарханд суурьшаад олон жилийг үдэж байна уу?

-Аль хэдийнэ “Дарханы Уянсүх” болсон
шүү дээ. 2002 онд ирсэн гээд бодохоор хэдэн жил болсон байна вэ. Дархан хот, өөрөөр
хэлбэл Бурхантын хөндий надад цалгисан их онгод хайрлаж, бүтээл туурвилын үүдийг
нээсэн нутаг. Бараг л бүх бүтээлүүд маань энэ хотод төрсөн. Одоо би бичсээр л байгаа.
Зогсох талаар бодохыг ч хүсдэггүй. Хэдхэн өдрийн дараа зураач С.Төгс-Оюун эгчийн
чимэг зурагтай “Аниргүй там” өгүүллэгийн түүвэр хэвлэлтээс гарах гэж байна.

-Яруу найрагч хүнтэй уулзаж байж
хайрын тухай асуухгүй өнгөрвөл алдас болох байх?

-Марина Цветаевагийн нэг шад байдаг
даа. “Хайр аймшигтай…” гэж. Надад энэ үг их бодогддог юм. Бас Д.Урианхай найрагч
“Хайр гэдэг чинь яасан аминчхан юм бэ” гэж уулга алдсан байдаг. Одоо бодоход надад
хайрын дурсамж тун бага. Гэхдээ бас “Ярьж болохгүй хайр” гэж байдаг шүү дээ. (инээв)

-Алтан жүнзэн дэх мөнгөн дарсыг
аль хэр хүртэж байв даа?

-Равжаа хутагт, Д.Урианхай ах, Д.Нямсүрэн
найрагч шиг архийг рашаан болгож хүртвэл түүн шиг сайхан юм хаа байхав. Бас манай
Чилаа, Бавуу хоёр байна. Гэтэл бид яадаг билээ. Юу юугүй сагсалзаад л. Миний яаж
уудаг байсныг зарим нэг нь доторлоно. Чи бол гадарлана. Одоо бол бараг больсон доо.
Ууж идэхээ багасгангуут ууж яваа хүмүүстээ санаа зовж эхлэх юм. Б.Баттулга, Ц.Доржсэмбэ
хоёрын төлөө би үргэлж санаа зовдог. Тусалж чадахгүй мөртлөө тэр шүү дээ. Энэ хоёр
найрагчид орон байр бэлтгэж өгөөд, шүлгийг нь бичүүлээд, архийг нь ч уулгаад чирээд
явчих хөрөнгөтэй, мөнгөтэй хүн Монголд цөөн биш. Харин тийм сэтгэлтэн алга. Хамба
хутагтын шавь, Завханы Идэр сумын уугуул, “Шинэ Ази” группын ерөнхийлөгч Л.Төрбаяр
шиг хүмүүс олон байдаг болоосой гэж боддог шүү.

-Монголын хүүрнэл зохиол өнөөдөр
ямаршуухан түвшинд байна даа?

-Энэ тухай ярих хэцүү. Яруу найргийн
олон ном гарч байгаа ч гэсэн надад баримжаа байна. Харин хүүрнэл зохиолын талаар
тодорхой хэлж мэдэхгүй нь. Бөөн бөөн ном бичсэн лут лут хүмүүс дуулдах л юм. Ялангуяа
өөрсдөө сайн мэдэхгүй байж түүхэн сэдэв рүү хошуурч байна. Заримыг нь хальт нээж
үзсэн л дээ. Тэгээд бид өмнөх үеэсээ ухарч байна уу даа гэж бодоход хүрсэн. Гэхдээ
манай үеийн хэдэн хүн байна аа, байна. М.Эрдэнэбат, Б.Баярсайхан, Т.Баянсан, Б.Золбаяр
гээд л. Г.Аюурзаны “Бөөгийн домог” романыг гүйцэж унших зайнаас манай уншигчид хол
байна. Гэхдээ тэр зүг рүү нь хөтлөх уншигчид цөөнгүй байгаа нь сайхан хэрэг.